基于Quartus II 和MATLAB 的FIR滤波器设计与仿真(一)

        关键词:Quartus II     MATLAB     FIR 滤波器     IP 核

        整个设计与仿真过程大体分为四步:第一步在MATLAB设计滤波器系数并生成混合频率正弦信号作为仿真输入进行功能仿真;第二步在Quartus II调用IP核导入系数生成滤波器;第三步在Quartus II调用ROM IP核存入仿真输入作为正弦信号发生器进行仿真;第四步将Quartus II仿真输出导出到MATLAB绘图与输入做对比。

1     MATLAB 设计 FIR 滤波器系数与 FIR 滤波器仿真
    1.1    设计 FIR 滤波器系数

        MATLAB设计滤波器系数可以采用两种方式:方式1可调用图形化工具FDATOOL:在命令行输入fdatool --> 设置滤波器通阻带、采样频率,选择设计方法 --> 生成滤波器系数,导出到工作区 --> 量化滤波器系数,存入文本文件。具体设置网上很多教程都有,就不赘述了。

基于Quartus II 和MATLAB 的FIR滤波器设计与仿真(一)_第1张图片

方式2就是敲代码设计滤波器系数了:

fs=8000;         %采样频率
fp=1500;  %通带最高频率
fstop=2000;  %阻带最低频率
wp=2*pi*fp/fs;
ws=2*pi*fstop/fs;
B=ws-wp

你可能感兴趣的:(电子设计,电子信息)