[VCS]在VCS仿真的command

      在用VCS仿真過程中,可能會出現如下Compile Error:指示RTL中的property塊有syntax error。比較奇怪吧,此時需要在VCS的command line添加 +v2k的option,此Error可以解除。


      一般地,VCS仿真的基本command如下:

      vcs  -Tlinux64  -full64  +vcsd  -l  compile.vcs.log  -timescale=1ns/1ps  -sverilog  +v2k  +vcs+lic+wait  +define+SYNOPSYS_SV

             -ntb_define  NTB  -assert  svaext  +define+NTB  -ntb_opts  rvm  -ntb_tops  use_sigprop

注釋:

       -sverilog : 表示vcs编译,支持systemverilog

       router_test_top.sv:  表示指定的顶层是 router_test_top.sv

       -full64:  当系统是64位,需要此选项,否则编译会出错

       -debug_all: 开始调试功能,这样才能在生成的simv中看波形

       +incdir./+:  将当前目录 加入 `include 的搜索路径

       -y  ./:  将当前目录加入搜索路径,这样在顶层中调用的module才会被找到

       +libext+.sv+.v:  指定文件,这里表示指定后缀为.sv和.v的文件

       -P: 指定使用的PLI,这里指定verdi下的PLI,因为verdi是需要fsdb文件来显示波形的,而fsdb文件的生成,需要系统函数(如$fsdbDumpfile,$fsdbDumpvars等等),而这些系统函数,vcs中是没有的,但是在verdi的PLI中有,所以这里需要指定一下。


      啟動Verdi的command:

      verdi  +v2k  -sv  -f  &

      或者:verdi -sv -f filelist –ssf test.fsdb

注釋:

        -sv: 表示 Verdi 软件 要支持systemverilog

        -f filelist:  源代码的文件列表

        -ssf test.fsdb:  指定fsdb文件


 

你可能感兴趣的:(Tool)