1.1 Basic Usage(add in top module)
Options | Description |
---|---|
+all | Dump all signals including memory, MDA, packed array, structure, union, power-related, and packed structure |
+mda | Dump all memory and MDA signals |
+strength | Enable strength dumping |
+struct | Dump all structs (default on) |
+power | Dump power-related signals (default on) |
others | check on verdi -doc //$VERDI_HOME/doc/linking_dumping.pdf |
$fsdbDumpfile("test.fsdb",50)
$fsdbDumpvars(0, chip_top,"+power","+struct", "+mda");
1.2 Q: How to split fsdb file?
initial begin
$fsdbDumpvars(0, dut);
$fsdbAutoSwitchDumpfile(2000, XXX, 20);
en
integer i;
initial begin
$fsdbDumpvars(0, dut);
i=0;
forever begin
$fsdbSwitchDumpfile($sformatf("XXX_%0d", i));
#time period;
i = i+1;
end
end
1.3 Q: How to dump a certain time interval only?
initial begin
$fsdbDumpvars(0, dut, "+fsdbfile+interval.fsdb");
#40 $fsdbDumpoff;
#40 $fsdbDumpon;
#40 $fsdbDumpoff;
#40 $finish;
end
1.4 Q: How to not dump a certain instance?
initial begin
$fsdbSuppress(dut.inst_a, dut.inst_b);
$fsdbDumpvars(0, dut);
end
1.5 Q: How to dump assertion?
initial begin
$fsdbDumpSVA(0, dut);
end
1.6 Q: How to dump memory arrays?
initial begin
$fsdbDumpMDA(1, dut.i_pram);
end
1.7 Q: How to dump lib cells signal to fsdb?
Example: vcs -debug_acc -debug_region=cell+lib
1.8 Q: How to dump glitch information?
%> simv +fsdb+sequential +fsdb+glitch=0 +fsdb+region
%> setenv NOVAS_FSDB_ENV_DUMP_SEQ_NUM 1
%> setenv NOVAS_FSDB_ENV_MAX_GLITCH_NUM 0
%> setenv FSDB_REGION
then re-run simulation
1.9 Q: How to dump force information?
%> simv +fsdb+force
%> setenv FSDB_FORCE
then re-run simulation