在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152

前言

本文所使用的软件

  • 虚拟机软件:VMware Workstation 15 PRO 如何安装?
  • 操作系统:CentOS-7, CentOS-7-x86_64-DVD-1810.iso 如何安装?

简介

  • INCISIVE
    Incisive是nc_verilog, nc_sim, nc_lauch,以及ABV,TBV的集合,用于仿真和验证。
  • InstallScape
    InstallScape是cadence软件的图形安装界面,本文将使用InstallScape安装INCISIVE152。

准备工作

下载

  • Incisive152
    百度云链接:http://pan.baidu.com/s/1dFC9KZn 密码:k3cb
    // 只需下载 Cadence_Software > VERIFICATION > INCISIV152 内的文件, 共13个

  • patch 链接

  • license 链接

把下载的文件传入虚拟机

  1. 启用共享文件夹 如何启用?

  2. 将下载好的文件复制到共享文件夹
    在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第1张图片

  3. 进入虚拟机中的共享文件夹,检查一下

    cd /mnt/hgfs/MyDownload  ## 将 MyDownload 替换为你的共享文件夹的名称
    ls
    

安装流程

安装依赖包

  1. 切换到root用户

    su root
    
  2. 安装依赖

    yum install ksh
    yum install xterm
    yum install libX11-devel.i686
    yum install libXext-devel.i686
    yum install libstdc++-4.8.5-36.el7.i686
    

创建软件安装目录

  1. 修改/opt目录的权限

    chmod 777 /opt
    
  2. 切换回普通用户

    su chenzui  ## 将 chenzui 替换为你的用户名
    
  3. 创建目录

    cd /opt                  ## 进入opt文件夹
    mkdir ./cadence          ## 创建cadence文件夹
    cd /cadence              ## 进入cadence文件夹
    mkdir ./iscape           ## 创建iscape文件夹
    mkdir ./INCISIV152       ## 创建INCISIV152文件夹
    mkdir ./license          ## 创建license文件夹
    mkdir ./patch            ## patch
    

安装InstallScape

## 进入共享文件夹内的INSIV152文件夹
cd /mnt/hgfs/MyDownload/INCISIV152  
## 解压当前目录下所有后缀为.tar的文件
for i in $(ls *.tar);do tar xvf $i;done  
## 将InstallScape安装包复制到相应的安装目录
cp INCISIVE15.20.001_lnx86.Base/CDROM1/IScape04.23-s010lnx86.t.Z /opt/cadence/iscape/ 
## 进入installscape安装目录
cd /opt/cadence/iscape/  
## 解压(无需安装,解压后直接可用)
zcat IScape04.23-s010lnx86.t.Z | tar -xvf -  
## 启动InstallScape
./iscape/bin/iscape.sh  
在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第2张图片

安装incisive152

  1. 点击Local directory …

  2. 选择安装包路径 /mnt/hgfs/MyDownload/INCISIV152/INCISIVE15.20.001_lnx86.Base/CDROM1
    在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第3张图片

  3. 点击Continue

  4. 选中搜索结果incisive_15.20, 点击next
    在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第4张图片

  5. 勾选PINCISIVE

  6. 选择安装路径 /opt/cadence/INCISIV152

    在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第5张图片
  7. 点击start开始安装
    这将花费一定时间。安装完成后将进行configure,碰到license有关的内容选no即可。

破解

## 进入共享文件夹
cd /mnt/hgfs/MyDownload/  
## 解压patch.tar
tar -xvf patch.tar
## 将解压后的patch目录复制到cadence目录下
cp -r patch /opt/cadence/  
## 解压linsece文件
tar -xvf cadence.dat.tar.gz
## 将解压后的cadence.dat文件复制到license目录下
cp cadence.dat /opt/cadence/license/
## 进入cadence目录
cd /opt/cadence/  
## 修改INCISIV152目录下所有文件的权限
su root
chmod -R 777 INCISIV152/
su chenzui
## 进入patch目录
cd patch/  
## 执行破解程序
## 32位系统使用patch32.sh,64位系统系统patch62.sh
./patch62.sh ../INCISIV152/  

破解完成后,如果有error不必担心,重点是要有changed。

配置环境变量

  1. 编辑bashrc

    vim ~/.bashrc
    
  2. 在后面添加

    #####################
    # cadence
    #####################
    export INCISIVDIR=/opt/cadence/INCISIV152
    export VRST_HOME=/opt/cadence/INCISIV152
    export LM_LICENSE_FILE=/opt/cadence/license/cadence.dat
    export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/opt/cadence/INCISIV152/tools.lnx86/lib
    alias irun="/opt/cadence/INCISIV152/tools/bin/irun"
    alias simv="/opt/cadence/INCISIV152/tools/bin/simvision"
    alias simvision="/opt/cadence/INCISIV152/tools/bin/simvision -64 &"
    alias ncvlog="/opt/cadence/INCISIV152/tools/bin/ncvlog"
    alias ncsim="/opt/cadence/INCISIV152/tools/bin/ncvsim"
    alias ncelab="/opt/cadence/INCISIV152/tools/bin/ncelab"
    alias ncvhdl_p="/opt/cadence/INCISIV152/tools/bin/ncvhdl_p"
    export SPECMAN_HOME=$INCISIVDIR/components/sn
    export SPECMAN_DIR=$SPECMAN_HOME/
    export PATH="$PATH:$INCISIVDIR/bin"
    export PATH="$PATH:$INCISIVDIR/tools.lnx86/bin"
    export PATH="$PATH:$INCISIVDIR/tools.lnx86/dfII/bin"
    
    在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第6张图片
  3. 保存并退出

  4. 使之生效

    source ~/.bashrc
    

测试:运行simvision

simv
在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_第7张图片

你可能感兴趣的:(UVM学习笔记,cadence,incisive,ic验证,linux,centos)