Vivado下IBERT使用指南

Vivado下IBERT使用指南_第1张图片

第一部分 生成IBERT IP及运行工程生成配置文件

1. 选择IP,选择FPGA版本,protocol数量 (所有通道用一个速率的话一般只选择1个 protocol),速率,参考时钟频率,通道数量和Quad PLL(大于6G的速率时必须选择)

Vivado下IBERT使用指南_第2张图片

2. 选择需要的Quad 通道114和115,及参考时钟源,这里选择合用QUAD114的参考时钟

Vivado下IBERT使用指南_第3张图片

3.时钟源选择QUAD_114_CLK0做为整个IP的系统时钟,当然这个需要根据硬件实际情况来选择。

Vivado下IBERT使用指南_第4张图片

4.生成IP之后在IP的顶层右键点击Open IP Example Design,然后会打开一个新的VIVADO界面。

Vivado下IBERT使用指南_第5张图片

第二部分 上板利用IBERT验证GTX管脚

5.如果需要在ISE的ChipScope中查看IBERT时,直接点击ISE的ChipScope的Analyzer,然后点击链接->配置FPGA。如下图所示

Vivado下IBERT使用指南_第6张图片

6.如果是要在Vivado中查看Ibert,则需要打开Hard ware Session,如下图所示

Vivado下IBERT使用指南_第7张图片

7. 点击Open a new hardware target

Vivado下IBERT使用指南_第8张图片

8. Open a new hardware target界面点击Next

Vivado下IBERT使用指南_第9张图片

9. 不用更改,点击next

Vivado下IBERT使用指南_第10张图片

10.选择目标FPGA芯片点击next

Vivado下IBERT使用指南_第11张图片

11.无需更改,点击next

Vivado下IBERT使用指南_第12张图片

12. 选择配置文件

Vivado下IBERT使用指南_第13张图片

13.选择配置的FPGA,点击右上角create links

Vivado下IBERT使用指南_第14张图片

14.点击+号将所有通路添加进去

Vivado下IBERT使用指南_第15张图片

15. 点击Next

Vivado下IBERT使用指南_第16张图片

16. IBERT 界面

Vivado下IBERT使用指南_第17张图片

17.可将光标放在BERT栏上右键,就可以弹出菜单,根据需要添加或减去功能

Vivado下IBERT使用指南_第18张图片

18.将TX Pattern 和RX Pattern选为31 bit与IP中设置相符

Vivado下IBERT使用指南_第19张图片

19. 点击AUTO REFRSSH可以看到各个通道的速率变化

Vivado下IBERT使用指南_第20张图片

20. 选择一路通道,右键选择create scan可以创建眼图。

Vivado下IBERT使用指南_第21张图片

21.眼图

Vivado下IBERT使用指南_第22张图片

你可能感兴趣的:(Vivado下IBERT使用指南)