Redis CRC16校验 1.原理概述

CRC16校验

1.原理概述

  • 来自简书:CRC16算法
  • 来自github.io带图解说:CRC循环冗余校验
  • 来自之乎专栏:CRC-16校验原理与说明

来自简书:CRC16算法

简书摘要:

CRC16 标准

目前常用CRC16算法主要是依据以下两种标准:Redis CRC16校验 1.原理概述_第1张图片

 

CRC16 算法

按照使用环境不同,主要有以下三种实现:

    1. 按位计算

      程序空间十分苛刻但 CRC 计算速度要求不高的微控制器系统

    2. 按字节计算

      程序空间较大且 CRC 计算速度要求较高的计算机或微控制器系统,

    3. 半字节计算

      程序空间不太大,且 CRC 计算速度又不可以太慢的微控制器系统。

来自github.io带图解说:CRC循环冗余校验

github.io摘要:

CRC循环冗余校验

CRC(Cyclic redundancy check)又称为循环冗余校验,它是一种根据网络数据包或电脑文件等数据产生简短固定位数校验码的一种散列函数,主要用于检测或校验数据传输或者保存后可能出现的错误。生成的校验码在传输或者存储之前计算出来并附加到数据后面,然后接收方进行检验确定数据是否发生变化。

循环冗余校验(CRC)

循环冗余校验的算法思想可以理解为选取一个生成多项式k,将需要传输的数据补位,使得补位后的数据可二进制整除该生成多项式,数据接收方校验数据的方法为看接收后的数据是否能二进制整除k:

1. 将要传输的数据用二进制形式展开 2. 选定一个数K(称为**生成多项式**),K的二进制长度为W+1,则位宽为W 3. 在要传输的二进制数据后补W个0 4. 用二进制展开后的数据用二进制除法(不进位不借位,减法为二进制中的XOR)除以k,得到的余数即为循环冗余校验和。

 

影响CRC算法的因素

在实际使用,影响CRC的参数模型因素有如下几个:

    • 宽度(WIDTH):即多项式的长度-1。

    • 多项式(POLY):即生成多项式,上述示例中的k,要求生成多项式的最高位必须为1。

    • 初始值(INIT):初始时CRC寄存器中的值,这个值常选为0x0000或0xFFFF

    • 结果异或值(XOR):上述方法计算结果后再与该值异或即得CRC值。

为了使得校验出错率降到最低,业界研究出一些特定的生成多项式用于CRC校验算法。常见的CRC算法有CRC8、CRC16、CRC32、CRC64等等。

使用C语言实现CRC算法

以下算法参考redis源码中CRC16实现方法,是一种比较高效的实现方式。

宽度:16

生成多项式为:0x1021(x16+x12+x5+1)

初始值:0

结果异或值:0

static const uint16_t crc16tab[256]= {
    0x0000,0x1021,0x2042,0x3063,0x4084,0x50a5,0x60c6,0x70e7,
    0x8108,0x9129,0xa14a,0xb16b,0xc18c,0xd1ad,0xe1ce,0xf1ef,
    0x1231,0x0210,0x3273,0x2252,0x52b5,0x4294,0x72f7,0x62d6,
    0x9339,0x8318,0xb37b,0xa35a,0xd3bd,0xc39c,0xf3ff,0xe3de,
    0x2462,0x3443,0x0420,0x1401,0x64e6,0x74c7,0x44a4,0x5485,
    0xa56a,0xb54b,0x8528,0x9509,0xe5ee,0xf5cf,0xc5ac,0xd58d,
    0x3653,0x2672,0x1611,0x0630,0x76d7,0x66f6,0x5695,0x46b4,
    0xb75b,0xa77a,0x9719,0x8738,0xf7df,0xe7fe,0xd79d,0xc7bc,
    0x48c4,0x58e5,0x6886,0x78a7,0x0840,0x1861,0x2802,0x3823,
    0xc9cc,0xd9ed,0xe98e,0xf9af,0x8948,0x9969,0xa90a,0xb92b,
    0x5af5,0x4ad4,0x7ab7,0x6a96,0x1a71,0x0a50,0x3a33,0x2a12,
    0xdbfd,0xcbdc,0xfbbf,0xeb9e,0x9b79,0x8b58,0xbb3b,0xab1a,
    0x6ca6,0x7c87,0x4ce4,0x5cc5,0x2c22,0x3c03,0x0c60,0x1c41,
    0xedae,0xfd8f,0xcdec,0xddcd,0xad2a,0xbd0b,0x8d68,0x9d49,
    0x7e97,0x6eb6,0x5ed5,0x4ef4,0x3e13,0x2e32,0x1e51,0x0e70,
    0xff9f,0xefbe,0xdfdd,0xcffc,0xbf1b,0xaf3a,0x9f59,0x8f78,
    0x9188,0x81a9,0xb1ca,0xa1eb,0xd10c,0xc12d,0xf14e,0xe16f,
    0x1080,0x00a1,0x30c2,0x20e3,0x5004,0x4025,0x7046,0x6067,
    0x83b9,0x9398,0xa3fb,0xb3da,0xc33d,0xd31c,0xe37f,0xf35e,
    0x02b1,0x1290,0x22f3,0x32d2,0x4235,0x5214,0x6277,0x7256,
    0xb5ea,0xa5cb,0x95a8,0x8589,0xf56e,0xe54f,0xd52c,0xc50d,
    0x34e2,0x24c3,0x14a0,0x0481,0x7466,0x6447,0x5424,0x4405,
    0xa7db,0xb7fa,0x8799,0x97b8,0xe75f,0xf77e,0xc71d,0xd73c,
    0x26d3,0x36f2,0x0691,0x16b0,0x6657,0x7676,0x4615,0x5634,
    0xd94c,0xc96d,0xf90e,0xe92f,0x99c8,0x89e9,0xb98a,0xa9ab,
    0x5844,0x4865,0x7806,0x6827,0x18c0,0x08e1,0x3882,0x28a3,
    0xcb7d,0xdb5c,0xeb3f,0xfb1e,0x8bf9,0x9bd8,0xabbb,0xbb9a,
    0x4a75,0x5a54,0x6a37,0x7a16,0x0af1,0x1ad0,0x2ab3,0x3a92,
    0xfd2e,0xed0f,0xdd6c,0xcd4d,0xbdaa,0xad8b,0x9de8,0x8dc9,
    0x7c26,0x6c07,0x5c64,0x4c45,0x3ca2,0x2c83,0x1ce0,0x0cc1,
    0xef1f,0xff3e,0xcf5d,0xdf7c,0xaf9b,0xbfba,0x8fd9,0x9ff8,
    0x6e17,0x7e36,0x4e55,0x5e74,0x2e93,0x3eb2,0x0ed1,0x1ef0
};
uint16_t crc16(const char *buf, int len) {
    int counter;
    uint16_t crc = 0;
    for (counter = 0; counter < len; counter++)
            crc = (crc<<8) ^ crc16tab[((crc>>8) ^ *buf++)&0x00FF];
    return crc;
}
int main(int argc, char* argv[])
{
    std::cout << std::hex <<  crc16("123", 3) << std::endl;
}

下面内容节选自一篇论文《基于字节查表的循环冗余校验码的软件生成算法》。

 

        其实原文中在这里之后还有两步化简,不过感觉不需要理解了。注意上面的符号都是模二的,分数线【——】是模二除,加号【+】是模二加,即异或运算。

这里先明确几个概念:

CRC16的校验码是两个字节,所以Redis的源码中使用了 uint16_t类型(unsigned short int)
CRC16要校验的数据位是8位
在求解CRC校验码的过程中,会用到模二除,实际我们最后不关心它的商Q(x),只关心关心余数R(x),它也是两个字节的大小
余数R(x)分为高字节RH(x)和低字节RL(x)两个部分:R(x) = RH(x) * X^8 + RL(x) (这个+,可以理解成异或,也可以理解成+号)
任何数和0异或结果还是这个数
观察最后一个多项式的第二个部分,可以发现这也是一个CRC校验码计算过程,它求解的数据是方括号内的内容——原校验码的高字节与当前数据位进行异或运算,设其结果为Dnew,然后对Dnew再求一次CRC校验码,设其结果为CRC(Dnew),再将CRC(Dnew)和原校验码的低字节进行异或。

上面等式,我简单概括一下(商可忽略):

        CRC(Mn+1(x)) = CRC(RnH(x) + M0(x)) + (RnL(x) * X^8)/G(x)

可以发现这个等式,等号左右两边都用到了CRC算法,不过其参数不同,很明显这是一个递归的形式。如果直接用计算机模拟这个公式,其时间效率是很低的,所以发明了“查表法”。

        因为CRC算法要校验的数据位是8位的,所以CRC算法的参数只有256种可能,所以事先将这256中参数(数据位)的CRC校验码计算出来,保存到数组之中,这个实际计算CRC校验码的时候,直接查表就可以了,其时间复杂度是O(1)。

CRC16查表法的推广
        在Redis源码目录下,还有一个crc64的文件,即64位CRC校验码的算法,实际上和CRC16查表法的原理是一样的,它也是校验的8位数据,所以其事先生成的CRC表(数组)中也是有256个元素,不过其中每个元素都是uint64_t类型(unsigned long int)
        CRC16的查表法当然还可以推广到CRC32算法中。这里还要提一下,该算法不一定是对8位数据进行校验,也可以对16位进行校验,这是CRC表中就需要有65536(2^16)个元素,浪费存储空间。也可以对半字节(4位)进行校验,这时CRC表要存储的元素个数是32(2^4),虽然节省了内存,但是同样的数据,每次只校验四个字节的话,会导致校验的次数增加很多,花费的计算时间变多。所以每次校验8字节,是在综合了时间和空间效率的前提下的一种折中方案。
        很多算法都是时间和空间,二者不可得兼的。

 

来自之乎专栏:CRC-16校验原理与说明

知乎摘要:

1、循环校验码(CRC码):

是数据通信领域中最常用的一种差错校验码,其特征是信息字段和校验字段的长度可以任意选定。

2、生成CRC码的基本原理:

任意一个由二进制位串组成的代码都可以和一个系数仅为‘0’和‘1’取值的多项式一一对应。例如:代码1010111对应的多项式为x6+x4+x2+x+1,而多项式为x5+x3+x2+x+1对应的代码101111。

标准CRC生成多项式如下表:

名称 生成多项式 简记式* 标准引用

CRC-4 x4+x+1 3 ITU G.704

CRC-8 x8+x5+x4+1 0x31

CRC-8 x8+x2+x1+1 0x07

CRC-8 x8+x6+x4+x3+x2+x1 0x5E

CRC-12 x12+x11+x3+x+1 80F

CRC-16 x16+x15+x2+1 8005 IBM SDLC

CRC16-CCITT x16+x12+x5+1 1021 ISO HDLC, ITU X.25, V.34/V.41/V.42, PPP-FCS

CRC-32 x32+x26+x23+...+x2+x+1 04C11DB7 ZIP, RAR, IEEE 802 LAN/FDDI, IEEE 1394, PPP-FCS

CRC-32c x32+x28+x27+...+x8+x6+1 1EDC6F41 SCTP

3、CRC-16校验码的使用:

现选择最常用的CRC-16校验,说明它的使用方法。

根据Modbus协议,常规485通讯的信息发送形式如下:

地址 功能码 数据信息 校验码

1byte 1byte nbyte 2byte

CRC校验是前面几段数据内容的校验值,为一个16位数据,发送时,低8位在前,高8为最后。

例如:信息字段代码为: 1011001,校验字段为:1010。

发送方:发出的传输字段为: 1 0 1 1 0 0 1 1 0 10

信息字段 校验字段

接收方:使用相同的计算方法计算出信息字段的校验码,对比接收到的实际校验码,如果相等及信息正确,不相等则信息错误;或者将接受到的所有信息除多项式,如果能够除尽,则信息正确。

4、CRC-16校验码计算方法:

常用查表法和计算法。计算方法一般都是:
(1)、预置1个16位的寄存器为十六进制FFFF(即全为1),称此寄存器为CRC寄存器;
(2)、把第一个8位二进制数据(既通讯信息帧的第一个字节)与16位的CRC寄存器的低
8位相异或,把结果放于CRC寄存器,高八位数据不变;
(3)、把CRC寄存器的内容右移一位(朝低位)用0填补最高位,并检查右移后的移出位;
(4)、如果移出位为0:重复第3步(再次右移一位);如果移出位为1,CRC寄存器与多

项式A001(1010 0000 0000 0001)进行异或;
(5)、重复步骤3和4,直到右移8次,这样整个8位数据全部进行了处理;
(6)、重复步骤2到步骤5,进行通讯信息帧下一个字节的处理;
(7)、将该通讯信息帧所有字节按上述步骤计算完成后,得到的16位CRC寄存器的高、低
字节进行交换;
(8)、最后得到的CRC寄存器内容即为:CRC码。

以上计算步骤中的多项式A001是8005按位颠倒后的结果。

查表法是将移位异或的计算结果做成了一个表,就是将0~256放入一个长度为16位的寄存器中的低八位,高八位填充0,然后将该寄存器与多项式0XA001按照上述3、4步骤,直到八位全部移出,最后寄存器中的值就是表格中的数据,高八位、低八位分别单独一个表。

5、提供两个经典的程序示例(皆验证通过)

(1) C查表法版本:

特点:速度快,语句少,但表格占用一定的程序空间。

*pucFrame 为待校验数据首地址,usLen为待校验数据长度。返回值为校验结果。

USHORT usMBCRC16( UCHAR * pucFrame, USHORT usLen )

{

UCHAR ucCRCHi = 0xFF;

UCHAR ucCRCLo = 0xFF;

int iIndex;

while( usLen-- )

{

iIndex = ucCRCLo ^ *( pucFrame++ );

ucCRCLo = ( UCHAR )( ucCRCHi ^ aucCRCHi[iIndex] );

ucCRCHi = aucCRCLo[iIndex];

}

return ( USHORT )( ucCRCHi << 8 | ucCRCLo );

}

static const UCHAR aucCRCHi[] = {

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,

0x00, 0xC1, 0x81, 0x40

};

static const UCHAR aucCRCLo[] = {

0x00, 0xC0, 0xC1, 0x01, 0xC3, 0x03, 0x02, 0xC2, 0xC6, 0x06, 0x07, 0xC7,

0x05, 0xC5, 0xC4, 0x04, 0xCC, 0x0C, 0x0D, 0xCD, 0x0F, 0xCF, 0xCE, 0x0E,

0x0A, 0xCA, 0xCB, 0x0B, 0xC9, 0x09, 0x08, 0xC8, 0xD8, 0x18, 0x19, 0xD9,

0x1B, 0xDB, 0xDA, 0x1A, 0x1E, 0xDE, 0xDF, 0x1F, 0xDD, 0x1D, 0x1C, 0xDC,

0x14, 0xD4, 0xD5, 0x15, 0xD7, 0x17, 0x16, 0xD6, 0xD2, 0x12, 0x13, 0xD3,

0x11, 0xD1, 0xD0, 0x10, 0xF0, 0x30, 0x31, 0xF1, 0x33, 0xF3, 0xF2, 0x32,

0x36, 0xF6, 0xF7, 0x37, 0xF5, 0x35, 0x34, 0xF4, 0x3C, 0xFC, 0xFD, 0x3D,

0xFF, 0x3F, 0x3E, 0xFE, 0xFA, 0x3A, 0x3B, 0xFB, 0x39, 0xF9, 0xF8, 0x38,

0x28, 0xE8, 0xE9, 0x29, 0xEB, 0x2B, 0x2A, 0xEA, 0xEE, 0x2E, 0x2F, 0xEF,

0x2D, 0xED, 0xEC, 0x2C, 0xE4, 0x24, 0x25, 0xE5, 0x27, 0xE7, 0xE6, 0x26,

0x22, 0xE2, 0xE3, 0x23, 0xE1, 0x21, 0x20, 0xE0, 0xA0, 0x60, 0x61, 0xA1,

0x63, 0xA3, 0xA2, 0x62, 0x66, 0xA6, 0xA7, 0x67, 0xA5, 0x65, 0x64, 0xA4,

0x6C, 0xAC, 0xAD, 0x6D, 0xAF, 0x6F, 0x6E, 0xAE, 0xAA, 0x6A, 0x6B, 0xAB,

0x69, 0xA9, 0xA8, 0x68, 0x78, 0xB8, 0xB9, 0x79, 0xBB, 0x7B, 0x7A, 0xBA,

0xBE, 0x7E, 0x7F, 0xBF, 0x7D, 0xBD, 0xBC, 0x7C, 0xB4, 0x74, 0x75, 0xB5,

0x77, 0xB7, 0xB6, 0x76, 0x72, 0xB2, 0xB3, 0x73, 0xB1, 0x71, 0x70, 0xB0,

0x50, 0x90, 0x91, 0x51, 0x93, 0x53, 0x52, 0x92, 0x96, 0x56, 0x57, 0x97,

0x55, 0x95, 0x94, 0x54, 0x9C, 0x5C, 0x5D, 0x9D, 0x5F, 0x9F, 0x9E, 0x5E,

0x5A, 0x9A, 0x9B, 0x5B, 0x99, 0x59, 0x58, 0x98, 0x88, 0x48, 0x49, 0x89,

0x4B, 0x8B, 0x8A, 0x4A, 0x4E, 0x8E, 0x8F, 0x4F, 0x8D, 0x4D, 0x4C, 0x8C,

0x44, 0x84, 0x85, 0x45, 0x87, 0x47, 0x46, 0x86, 0x82, 0x42, 0x43, 0x83,

0x41, 0x81, 0x80, 0x40

};

(2) 汇编计算法版本:

特点:需要计算n*8次(n为信息字节数),运行速度慢,占用程序时间,但节省空间资源。

TEMP EQU 40H
CHKSUMBYL EQU 46H ;校验和低字节
CHKSUMBYH EQU 47H ;校验和高字节
DATALENGTH EQU 4FH ;待校验的数据串长度

ORG 0000H
MOV TEMP,#1EH
MOV TEMP+1,#6
MOV TEMP+2,#20H
MOV TEMP+3,#0
MOV TEMP+4,#0
MOV TEMP+5,#2
LCALL MAKE_CHKSUM
SJMP $
;--------------------------------------------------------------------------
;运行: 1E 06 20 00 00 02 01 A4 ,16进制,设备地址,命令,存储器地址高,存储器地址低,参数高,参数低,校验低,校验高。
;---------------------------------------------------------------------------
MAKE_CHKSUM: ;RTU 模式,CRC - 16 校验,用软件模拟仿真检查无误
MOV R0,#TEMP
MOV CHKSUMBYL,#0FFH ;1.预置 16 位寄存器为十六进制 FFFF(即全为 1),低字节
MOV CHKSUMBYH,#0FFH ; 预置 16 位寄存器为十六进制 FFFF(即全为 1),高字节
MOV DATALENGTH,#6 ;待校验的数据串长度
CHKSUM_LP1:
MOV A,@R0 ;2.把第一个 8 位数据与 16 位 CRC 寄存器的低位相异或,
XRL A,CHKSUMBYL
MOV CHKSUMBYL,A ;并把结果放于CRC 寄存器
MOV R7,#8
CHKSUM_LP2:
MOV A,CHKSUMBYH
CLR C
RRC A ;把寄存器的内容右移一位(朝低位),先移动高字节
MOV CHKSUMBYH,A
MOV A,CHKSUMBYL
RRC A ;再移动低字节
MOV CHKSUMBYL,A
JNC CHKSUM_JP ;4.检查最低位(移出位),如果最低位为 0 ,重复第 3 步(再次移位)
MOV A,CHKSUMBYL
XRL A,#01H ;如果最低位为 1,CRC 寄存器与多项式 A001 进行异或
MOV CHKSUMBYL,A
MOV A,CHKSUMBYH
XRL A,#0A0H
MOV CHKSUMBYH,A
CHKSUM_JP:
DJNZ R7,CHKSUM_LP2 ;重复步骤 3、4,右移 8 次,8 位数据全部进行了处理
INC R0
DJNZ DATALENGTH,CHKSUM_LP1 ;重复步骤2-5,进行下一个 8 位数据的处理
RET

END

首先介绍一个不错的CRC校验的网站,http://www.easics.com/webtools/crctool 现在估计所有的工程应用均来自该网站生成的代码。使用方便。

但是该网站的代码不易于CRC的学习和研究,但是保证是对的,工程实践证明。现在将我的研究成果和大家分享一下:用于任意CRC的校验。

网站上的校验方式最大提供CRC32 和任意数据位(最大511)的校验。当然一般的情况下应该是够用了。我所做的设计可以扩展到任意数据的校验,当然是并行数据的校验,串行数据的校验应用可以参照网上的一些资料。很简单,不再赘述。以CRC32为例

首先建立函数,=====设计的的关键

//--------------------------------------------------------------------------
function [31:0] next_c32;

input [31:0] crc; 
input B; 
begin
next_c32 = {crc[30:0],1'b0} ^ ({32{(crc[31] ^ B)}} &32'h04c11db7);//下划线的部分为本征多项式
end

endfunction

/*这是校验和左移一位求校验和的计算公式*/

相同的如果CRC8

//--------------------------------------------------------------------------
function [7:0] next_c8;

input [7:0] crc; 
input B; 
begin
next_c8 = {crc[6:0],1'b0} ^ ({8{(crc[7] ^ B)}} & 8'h03);//下划线的部分为本征多项式
end

endfunction

其他的是一样的。

其次 如果我们要求CRC32_D(M)M >= 32

function [31:0] next_c32_ge; //M+1 is the data maximum with
input [M:0] data;
input [31:0] crc;
integer i;
begin
next_c32_ge = crc;
for(i=0; i<=M; i="i"+1) begin
next_c32_ge = next_c32(next_c32_ge,data[M-i]);
end
end
endfunction

假设我们求CRC32_D64 那么M=63

function [31:0] next_c32_D64; //M+1 is the data maximum with
input [63:0] data;
input [31:0] crc;
integer i;
begin
next_c32_D64 = crc;
for(i=0; i<=63; i="i"+1) begin
next_c32_D64 = next_c32(next_c32_D64,data[63-i]);
end
end
endfunction

假设我们求CRC32_D128 那么M=127

function [31:0] next_c32_D128;

input [127:0] data;
input [31:0] crc;
integer i;
begin
next_c32_D128 = crc;
for(i=0; i<=127; i="i"+1) begin
next_c32_D128= next_c32(next_c32_D128,data[127-i]);
end
end
endfunction

再次如果我们要求CRC32_D(M) M<=32

function [31:0] next_c32_le;
input [31:0] data;
input [31:0] inp;
input [4:0] be;
integer i;
begin
next_c32_le = data;
for(i=0; i<=31-be; i="i"+1) begin
next_c32_le = next_c32(next_c32_le,inp[31-be-i]);
end
end
endfunction

我们首先校验完毕所有的有效数据位下面的函数是对CRC的空闲位的修正。

function [K-1:0] next_cK_1_any_LEK_1;
input [N-1:0] data;
input [K-1:0] crc;
begin
next_cK_1_any_LEK_1 = next_c32_le({data,{(K-N){1'b0}}},{crc[K-1:N],{(K-N){1'b0}}},(K-N))^{crc<
end 
endfunction

//以CRC32D16 K =32 N =16 这个函数就变成

function [31:0] next_C32_D16;
input [15:0] data;
input [31:0] crc;
begin
next_C32_D16 = next_c32_le({data,{16{1'b0}}},{crc[31:16],{16{1'b0}}},16)^{crc<<16}; 
end 
endfunction

经过modelsim和Qii软件仿真无误。本来想做成动态数据长度校验的函数,本人也作了一些尝试,在CRC--N N = 2^m时都是没有问题的 比如CRC8 CRC16 CRC32 CRC64 等等,但是若是不是这些数值比如CRC12 CRC10的Qii会抱错(因为部分函数的输入部分必须为常数),但是Modelsim不会抱错而且仿真和实际的结果一致。可以用来做验证。 这边仅仅举了CRC32 的例子,其他的也都类似。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CODE_74_NEW is
Port ( clk : in STD_LOGIC;
data_in: in STD_LOGIC_VECTOR (3 downto 0);
--cnt_out: out std_logic_vector (2 downto 0);
--dtemp_out: out std_logic_vector (3 downto 0);
data_crc : out STD_LOGIC);

end CODE_74_NEW;
architecture Behavioral of CODE_74_NEW is
constant multi_coef:std_logic_vector (3 downto 0):="1101";--生成多项式系数,MSB一定为1,g(x)=x^3+x^2+1

begin
process(clk)
variable crcvar,dtemp,sdata:std_logic_vector(3 downto 0);--除法运算被除数变量
variable cnt:std_logic_vector (2 downto 0):="000";--运算次数控制

begin
if clk'event and clk='1' then
cnt:=cnt+1;
--cnt_out<=cnt;
--dtemp_out<=dtemp;

if cnt<=4 then --前四个时钟,串行输出四位信息码
if cnt=1 then --初始化操作
dtemp:=data_in;--装载原数据,用于运算校验码
sdata:=data_in;--装载原数据,保存
end if; 

data_crc<=sdata(3);--当计数器小于4时,每来一个时钟串行输出一位信息码
sdata:=sdata(2 downto 0) & '0'; --左移 

--以下为校验码运算
if dtemp(3)='1' then --当前运算的四位码,如果最高位为1则可进行模二除法
crcvar:=dtemp(3 downto 0) xor multi_coef;--异或运算模二除法
dtemp:=crcvar(2 downto 0) & '0';--运算后补零
else dtemp:=dtemp(2 downto 0) & '0';--当前运算的四位码,如果最高位为0则只进行移位补零
end if;

elsif cnt>4 then --后三个时钟串行输?位校验码
data_crc<=dtemp(3);--输出,移位 
dtemp:=dtemp(2 downto 0) & '0';
if cnt=7 then --第7个时钟清零
cnt:=(others=>'0');
end if;
end if;
end if;
end process;
end Behavioral;

 

你可能感兴趣的:(Redis)