在仿真文件中读取或者写入txt文件


用$readmemh.或者$readmemb.


在Matlab中写txt文档的方法
fid = fopen('data_in.txt','w');       //自动创建一个data_in.txt文档  ,并且写入                                                          
fprintf(fid,'%d\n',data_in);           // 将data_in 数组中的数据  写入fid,也就是data_in.txt中                                                       
fclose(fid);                                  //关闭fid


在Modelsim中wave导出txt文件
integer data_out _int;  
integer fid_out ;   
integer   rc_x;
reg  [15:0]             data_out;                                                         
initail fid_out = $fopen("real_output.txt","w");        // 初始化,将数据写入real_output.txt;
integer data_out_reg;   

always @ (posedge clk)                                                                     

    begin                                                                                                 

        if(data_valid)                                                                                

            begin                                                                                         
               data_out_reg = data_out;        //      将data_out数据每个有使能的时钟赋值data_out_reg。                                                          
               $fdisplay(fid, "%d", data_out_reg );

            end                                                                                             

    end  

matlab读取命令为:data= load('data_output.txt');




你可能感兴趣的:(Modelsim软件)