存储器实验:存储扩展实验、MIPS寄存器文件设计

一:实验要求

项目1— 存储扩展实验

现有如下 ROM 组件,4片4K32位 ROM ,7片16K32位 ROM,请在 Logisim 平台构建 GB2312 汉字编码的16K*16点阵汉字字库,电路输入为汉字区号和位号,电路输出为8×32位(256 位点阵信息),具体参见工程文件中的 storage.circ 文件,图中左侧是输入引脚,分别对应汉字区位码的区号和位号,中间区域为8个32位的输出引脚,可一次性提供一个汉字的256位点阵显示信息,右侧是实际显示区域,用于观测汉字显示是否正常。待完成字库子电路封装已经完成,请勿修改以免影响后续自动测试功能。

项目2— MIPS寄存器文件设计

利用 Logisim 平台构建一个简化的 MIPS 寄存器文件,内部包含4个32位寄存器,具体引脚与功能描述参见工程文件中的 storage.circ 文件。

二、 实验原理(实验准备)

1.项目1

(1)
存储器实验:存储扩展实验、MIPS寄存器文件设计_第1张图片
存储器实验:存储扩展实验、MIPS寄存器文件设计_第2张图片
存储器实验:存储扩展实验、MIPS寄存器文件设计_第3张图片
(2)用4片4K32位的ROM 替换其中一片16K32位器件——字扩展(需要片选信号)
16K32=213bit32 即4片4K*32“串联”,其中第13-12为2-4译码器的输入端,4个输出端连接片选信号。
(3)译码器
存储器实验:存储扩展实验、MIPS寄存器文件设计_第4张图片
(4)多路选择器
存储器实验:存储扩展实验、MIPS寄存器文件设计_第5张图片

2.项目2

(1)设计MIPS寄存器文件(即寄存器组)
可以选择多路选择器进行输出选择或者译码器加上三态门进行输出控制这两种方案之一。
进行写入控制时,既可以使用译码器,也可以使用解复用器(即多路分配器)。
注意:0号寄存器的值恒为0,且给一个异步清零信号常量1;
WD----Din;
我使用的是解复用器(DMX)进行写入控制;
存储器实验:存储扩展实验、MIPS寄存器文件设计_第6张图片

(2)MIPS寄存器文件设计所需器件与引脚
存储器实验:存储扩展实验、MIPS寄存器文件设计_第7张图片
(3)解复用器
存储器实验:存储扩展实验、MIPS寄存器文件设计_第8张图片

三、 实验设计

1.项目1

存储器实验:存储扩展实验、MIPS寄存器文件设计_第9张图片

2.项目2

存储器实验:存储扩展实验、MIPS寄存器文件设计_第10张图片

四、实验总结

1、片选信号可以不连接即不做处理,或者接常量1,或者接译码器验证都是正确的。

2、可以通过电路中线的颜色判断哪里除了问题。常见的颜色判断:蓝色表示位置状态;红色表示信号冲突;亮绿色表示高电平

3、解复用器有一个输入、选择(定义哪个输出端输出输入数据)、输出,而译码器只有选择(选择哪个输出为1)和输出。

你可能感兴趣的:(计算机组成)