Linux 下玩转Quartus II,脚本控制编译过程


Quartus II支持Tcl脚本,所以脚本手册必须得熟悉.

                        Quartus II Scripting Reference Manual:http://www.altera.com/literature/manual/TclScriptRefMnl.pdf


Altera官方一个Tcl练习:

                        Quartus II Tcl Examples: http://www.altera.com/support/examples/tcl/tcl.html


QuartusII 命令行相关文档:

                        Command-Line Scripting(V12.0):http://www.altera.com/literature/hb/qts/qts_qii52002.pdf


Quartus II 设置文件(qsf)参考手册:

                         Quartus setting file reference manual:http://www.altera.com/literature/manual/mnl_qsf_reference.pdf


Quartus II 命令行模式常用命令:

                        使用JTAG模式下载到FPGA上,使用命令:

                                    quartus_pgm -c USB-Blaster -m jtag -o p;xxx.sof

 

                        单独打开GUI图形下载界面,使用命令:

                                    quartus_pgmw

 

                       分析和综合(analysis & synthsis项目,使用命令:

                                   quartus_map 项目名



还有一篇博文,值得推荐。

                  QuartusII命令行操作--如何建立可移植的工程:http://blog.chinaaet.com/detail/3602.html

你可能感兴趣的:(技术人生,脚本,linux,scripting,reference,tcl,图形)