Verilog使用 $sformatf实现字符串拼接

在验证过程中会遇到字符串拼接问题,下面示例使用$sformatf做字符串拼接:

Verilog使用 $sformatf实现字符串拼接_第1张图片

以上示例中,st_a就变成了“./file/dti.txt”。

你可能感兴趣的:(ASIC设计)