Verdi的一些使用技巧记录

1、Verdi中控制dump fsdb波形的一些系统task:
$fsdbDumpvars - Dump the specified instances and nets.
$fsdbDumpfile - Specify FSDB file name.
$fsdbDumpon - Turn on FSDB dumping.
$fsdbDumpoff - Turn off FSDB dumping.
$fsdbSwitchDumpFile - Switch dumping to another FSDB file.
$fsdbAutoSwitchDumpfile - Limit FSDB file size and switch dumping to new FSDB file automatically.
$fsdbDumpflush - Force to dump result to FSDB file.
$fsdbDumpMem - Dump the contents of specified memories.
$fsdbDumpStrength - Dump the strength of signals.
$fsdbDumpvarsToFile - Dump scope/depth from a designated file.

2、当需要在多个代码之间来回切换,可以使用Verdi的Bookmark功能提升效率。
方法:source -> Bookmark 或者 Ctrl+F2,支持无限个Bookmarks。

你可能感兴趣的:(工具)