Quartus报错之Error: Can't continue timing simulation because delay annotation information for design is missing

Error: Can't continue timing simulation because delay annotation information for design is missing

   原因如下:

   CAUSE: You attempted to simulate a design whose netlist has not been annotated with delay 

  annotation information by the Timing Analyzer or Fitter. As a result, the Simulator cannot 

  continue the current timing simulation.

  即要对工程进行时序仿真时未编译工程,导致报错

  解决办法
  ACTION: Successfully run the Timing Analyzer or Fitter before running timing simulation. 
  即时序仿真之前先编译工程

你可能感兴趣的:(annotation)