基于FPGA的实现一款简易电子密码锁

目标:用FPGA实现一款简易电子密码锁
VHDL

功能:

1、设计一个密码锁的控制电路,当输入正确密码时,输出开锁信号以推动执行机构工作(用FPGA实现直流电机控制),用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; √

2、在锁的控制电路中储存一个可以修改的 4 位密码,当开锁按钮开关(可设置成 6 位至8位,其中实际有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续 20 秒的报警信号。√

工程截图:

基于FPGA的实现一款简易电子密码锁_第1张图片

仿真截图:
基于FPGA的实现一款简易电子密码锁_第2张图片
– ******************************************************************************
– 计时模块
– *******************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entit

你可能感兴趣的:(D1:VHDL设计,fpga开发)