基于FPGA与DS18B20温度传感器的通信实现

温度传感器介绍:
基于FPGA与DS18B20温度传感器的通信实现_第1张图片
基于FPGA与DS18B20温度传感器的通信实现_第2张图片
本设计使用VHDL语言实现温度传感器的驱动,并通过数码管显示。下面有完整代码及注释介绍

工程截图:
基于FPGA与DS18B20温度传感器的通信实现_第3张图片
全部代码如下:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity ds18B20 is
port(
clk : in std_logic;—50MHz
rst_n: in std_logic; --复位信号输入
one_wire : inout std_logic; --DS18B20数据线
dataout : out std_logic_vector(7 downto 0); --数码管数据输出
en : out std_logic_vector(3 downto 0)); --数码管位选信号
end ds18B20;

architecture Behavioral of ds18B20 is
signal dataout_buf:std_logic_vector(3 downto 0);
signal count:std_logic_vector(17 downto 0); --分频计数器<

你可能感兴趣的:(D1:VHDL设计,fpga开发)