ubuntu18安装vcs2016和verdi2016

文章目录

  • 1 文件依赖
  • 2 安装过程
    • 2.1 执行脚本
    • 2.2 界面安装
  • 3 破解过程
    • 3.1 生成`license`文件
    • 3.2 安装破解文件
  • 4 调试
    • 4.1 打开`dve`
    • 4.2 打开`verdi`
    • 4.3 打开`vcs`
    • 4.4 编译调试
  • 5 总结与参考


1 文件依赖

a. vcs2016verdi2016:链接:https://pan.baidu.com/s/1r13uxwv7bYGo-t0IA3papA
提取码:h097
b. ubuntu18虚拟机,且支持虚拟机与主机文件共享

2 安装过程

2.1 执行脚本

a.下载的所有文件如下所示,将下载的文件共享到ubuntu中,解压synopsyslnstaller.rar,得到3.3文件夹
ubuntu18安装vcs2016和verdi2016_第1张图片
b.root目录下创建安装目录,依次是vcsverdiscl(安装破解文件)
在这里插入图片描述
c.ubuntu中执行以下操作,./SynopsysInstaller_v3.3.run,得到安装文件之后执行sudo ./setup.sh -install_as_root,注意给权限,要不然没有该文件
在这里插入图片描述

2.2 界面安装

进入到安装界面,以安装vcs为例,以下是需要注意的点
a. 进入到source界面,选择下载好的vcs2016安装包
ubuntu18安装vcs2016和verdi2016_第2张图片
b 选择64位安装,要是不知道就查下,使用uname -a命令,显示x86_64就是64位系统
ubuntu18安装vcs2016和verdi2016_第3张图片
c 选择要安装的路径,这个是第一步中建立的路径,然后一直下一步执行即可,并且将veridscl都安装好
ubuntu18安装vcs2016和verdi2016_第4张图片

3 破解过程

3.1 生成license文件

a. 进入到scl_keygen文件夹中,打开synopsys.src文件,将其中的2020全部替换成2030
在这里插入图片描述
b. 双击运行scl_keygen.exe,在ubuntu运行ifconfig(找不到这个命令,按提示要安装下缺失文件),ether后面的就是的(注意不要把中间横线加进去了),另外运行下hostname,就知道ubuntuhostname,点击生成得到Synopsys.dat文件
ubuntu18安装vcs2016和verdi2016_第5张图片
c. 修改Synopsys.dat,将第二行修改成DAEMON snpslmd /synopsys/scl11.9/amd64/bin/snpslmd (注意路径)

d.Synopsys.dat拷贝到/synopsys/vcs2016.06/license/synopsys/verdi2016.06/license目录下,如果没有license目录的,可以自己新建一个

3.2 安装破解文件

a. 修改环境变量,givm ~/.bashrc,添加下面的代码,保存后source ~/.bashrc

#synopsys
#dve
export PATH=$PATH:/synopsys/vcs2016.06/gui/dve/bin #改成自己vcs的安装路径
alias dve='dve -full64'

#VCS
export PATH=$PATH:/synopsys/vcs2016.06/bin     #改成自己vcs的安装路径
#alias vcs='vcs -full64 -cpp g++ -cc gcc -LDFLAGS -Wl,--no-as-needed' #vcs需要的固定的参数
 
#VERDI
export PATH=$PATH:/synopsys/verdi2016.06/bin #改Verdi安装路径
export VCS_HOME=/synopsys/vcs2016.06
export VERDI_HOME=/synopsys/verdi2016.06
export NOVAS_HOME=/synopsys/verdi2016.06
 
#LICENCE
export LM_LICENSE_FILE=27000@yun-virtual-machine #这个asus改成自己的host name
alias lmg_vcs='lmgrd -c /synopsys/vcs2016.06/license/Synopsys.dat' #注意改路径
 
#scl
export PATH=$PATH:/synopsys/scl11.9/amd64/bin  #改SCL安装路径
export VCS_ARCH_OVERRIDE=linux
export VCS_TARGET_ARCH=amd64  #加上这个

b. 激活license

安装lsb-core: sudo apt-get install lsb-core
打开端口: sudo iptables -I INPUT -p tcp -d 27000 -j ACCEPT
          sudo iptables-save
执行:lmg_vcs      

碰到的问题: (1) synopsys.dat没有权限,无法执行,首先给synopsys.dat文件执行权限即可
ubuntu18安装vcs2016和verdi2016_第6张图片
碰到的问题: (2) 没有tmp文件夹,也没有权限,执行以下操作

sudo  su #切换到root权限
mkdir /usr/tmp/ #创建目录(Ubuntu下没有这个目录)
chmod 777 /usr/tmp/ #修改权限

ubuntu18安装vcs2016和verdi2016_第7张图片
碰到的问题: (3) tcp端口打不开,关掉之后等待一会儿,再试试

lmdown
lmg_vcs

在这里插入图片描述

4 调试

4.1 打开dve

打开dve是没问题的

yun@yun-virtual-machine:/usr$ dve

ubuntu18安装vcs2016和verdi2016_第8张图片

4.2 打开verdi

打开verdi显示错误:verdi_2016/bin/verdi: 433: /synopsys/verdi2016.06/bin/verdi: Syntax error: "(" unexpected,给verdi文件操作权限,将433function全部去掉,之后再打开就没有问题

yun@yun-virtual-machine:/usr$ verdi

ubuntu18安装vcs2016和verdi2016_第9张图片

4.3 打开vcs

记住得以64位方式打开vcs即可,也是没有问题的

yun@yun-virtual-machine:/usr$ vcs -full64 -h >> vcs_help.txt

ubuntu18安装vcs2016和verdi2016_第10张图片

4.4 编译调试

4位加法器进行编译调试,编译代码参考:设计实例05-计数器设计

makefile要修改下成以下,采用三步法调试编译

cmp_tb:
	vlogan -kdb -full64 -work work -override_timescale=1ns/1ns -l tb.log -f ./run.f

elab:
	vcs -kdb -lca -full64 -sverilog -debug_all -top test_top -o test.simv -P $(NOVAS_HOME)/share/PLI/VCS/LINUX64/verdi.tab $(NOVAS_HOME)/share/PLI/VCS/LINUX64/pli.a -cpp g++ -cc gcc -LDFLAGS -Wl,--no-as-needed -CFLAGS -fPIE -l elan.log
 
run:
	./test.simv -l sim.log -gui=verdi -l run.log

clean:
	rm -rf simv* \
	rm -rf AN.DB csrc simv.daidir test.simv.daidir verdiLog \
    rm -rf inter.fsdb novas.conf novas_dump.log novas.rc sim.log ucli.key \
	rm -rf .*.swp work.lib++ \
	rm -rf .vlogansetup.args .vlogansetup.env

编译elab时报错,g++gcc版本太高,vcs216只支持4.8版本,因此要安装4.8版本(不要删掉ubuntu自带的7.5版本),进行以下操作即可
ubuntu18安装vcs2016和verdi2016_第11张图片

安装4.8: sudo apt-get install gcc-4.8 g++-4.8 
检查安装结果: ls /usr/bin/gcc*

版本切换,按提示操作: sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 100
sudo update-alternatives --config gcc

版本查看: gcc --version

这些弄好了后,编译运行即可,出现波形
ubuntu18安装vcs2016和verdi2016_第12张图片

5 总结与参考

在安装过程遇到了几个新问题,最终还是解决了,以此记录整个安装过程,安装好的虚拟机有需要的可以私信我
参考:[原创] v c s 2016&v e r d i2016安装及调试总结(教程)
参考:Ubuntu 18.04安装gcc、g++ 4.8
参考:[VMware]主机与虚拟机共享文件

你可能感兴趣的:(验证,ubuntu,芯片)