数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法

一、虚方法

除了之前介绍的类的封装和继承,关于类的多态性也是必须关注的。
正是由于类的多态性,使得用户在设计和实现类时,不需要担心句柄指向得对象是父类还是子类,只要通过虚方法,就可以实现动态绑定(dynamic binding),或者在SV中称之为动态方法查找。
数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法_第1张图片
数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法_第2张图片
数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法_第3张图片
我们将已经编译阶段就可以确定下来调用方法所处作用域得方式称之为静态绑定(static binding),而与之相对得是动态绑定。
动态绑定指的是,在调用方法时,会在运行时来确定句柄指向对象的类型,再动态指向应该调用的方法。
为了实现动态绑定,我们将basic_test::test定义为虚方法。
数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法_第4张图片
数字验证学习笔记——SystemVerilog芯片验证13 ——虚方法_第5张图片
假如有A,B,C三个对象,B继承A,C继承B,如果只在B中的函数加了virtual,那么此时如果调用A.test(), 它不会去调用C的test函数的。
虚方法只能在task和function加virtual。

你可能感兴趣的:(验证学习,SV,学习)