【system verilog】foreach遍历多维数组

前言

关于多维数组的便利顺序,请参考:

https://blog.csdn.net/moon9999/article/details/104190800

正篇

先搬出这个神图,然后做个实验:

【system verilog】foreach遍历多维数组_第1张图片

实验1:

【system verilog】foreach遍历多维数组_第2张图片

打印结果:

【system verilog】foreach遍历多维数组_第3张图片

显然先遍历的[3:0],之后是[1:0],最后是[2:0],就是我们索引时候倒过来。

 

 

你可能感兴趣的:(芯片前端验证,systemverilog)