基于FPGA的电梯控制器设计(任意楼层)

本设计是基于FPGA的电梯控制器设计,可以实现控制器的功能如下图所示,可以自由设置楼层数,通过设计三段式有限状态机实现控制器的逻辑功能。
编程语言verilog/VHDL
系统功能架构框图:
基于FPGA的电梯控制器设计(任意楼层)_第1张图片

状态机流程图:
基于FPGA的电梯控制器设计(任意楼层)_第2张图片

代码的顶层模块:

基于FPGA的电梯控制器设计(任意楼层)_第3张图片
//定义变量
input clk_in;
input reset;<

你可能感兴趣的:(D1:verilog设计,D1:VHDL设计,fpga开发)