2021-03-03

Quartus ii分配引脚的三种方式
在Altera公司提供的Quartus ii软件里,我们可以发现三种分配管脚的方式。

1.使用tcl脚本的方法

(1) 生成tcl文件。project--->Generate Tcl File forproject...

(2)找到"set_location_assignment"字段编辑管脚。

例:

   ........

   set_location_assignment PIN_D13 -to iCLK
   set_location_assignment PIN_G26 -to iRST_N

   set_location_assignment PIN_H3 -to LCD_DATA[0]
    .......

    #后为注释部分。

(3)保存。

 (4) Project->Add/RemoveFiles.....->找到该文件,点击Add。

(5)Tools->Tcl Scripe.....->选中该文件,点击run,设置完毕。

2.添加.cvs文件

(1)新建一个excel文件,内容格式如下:


To Location 
SW[0] PIN_N25 
SW[1] PIN_N26 
SW[2] PIN_P25 
SW[3] PIN_AE14 
SW[4] PIN_AF14 


保存时将文件类型设为.cvs格式。

注意:该文件中的引脚名一定要和quartus顶层文件的输入输出引脚名一样。

(2)Assignments->ImportAssignments.....->导入该文件,点击OK。

设置完毕。

3.txt文件
格式:

to,location

SW0,PIN_N25

SW1,PIN_N26

...

导入方法与CSV文件相同。
 

你可能感兴趣的:(电子技术类)