iserdese2接口详解_7系列FPGA原语例程

【实例简介】

7系列的Verilog/VHDL原语使用例程,可用NotePad或UltraEdit等工具打开查看

【实例截图】

【核心代码】

7_Series_Library_Templates

└── 7_Series_Library_Templates

├── readme.txt

├── Verilog

│   ├── ADDMACC_MACRO.veo

│   ├── ADDSUB_MACRO.veo

│   ├── BRAM_SDP_MACRO.veo

│   ├── BRAM_SINGLE_MACRO.veo

│   ├── BRAM_TDP_MACRO.veo

│   ├── BSCANE2.veo

│   ├── BUFGCE_1.veo

│   ├── BUFGCE.veo

│   ├── BUFGCTRL.veo

│   ├── BUFGMUX_1.veo

│   ├── BUFGMUX_CTRL.veo

│   ├── BUFGMUX.veo

│   ├── BUFG.veo

│   ├── BUFHCE.veo

│   ├── BUFH.veo

│   ├── BUFIO.veo

│   ├── BUFMRCE.veo

│   ├── BUFMR.veo

│   ├── BUFR.veo

│   ├── CAPTUREE2.veo

│   ├── CARRY4.veo

│   ├── CFGLUT5.veo

│   ├── COUNTER_LOAD_MACRO.veo

│   ├── COUNTER_TC_MAC

你可能感兴趣的:(iserdese2接口详解)