中科亿海微FPGA初体验-LED流水灯实验

中科亿海微FPGA初体验-LED流水灯实验

  • 硬件环境
  • 操作步骤
    • 创建工程
    • 添加设计文件
    • 添加约束文件
    • 编译工程
    • 下载运行
  • 总结
  • 资源链接

硬件环境

开发板:EQ6HL45开发板

FPGA芯片型号:EQ6HL45CSG324

开发工具:eLinx编译器

操作步骤

创建工程

单击“New Project”,

中科亿海微FPGA初体验-LED流水灯实验_第1张图片

点击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第2张图片

将工程名改为“flow_led”,路径根据自己需求修改。同时勾选“Create Project subdirctory”,软件会自动创建与工程名相同的文件夹,并将工程文件放在该文件夹下。修改完成后,单击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第3张图片

单击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第4张图片
目前无需添加设计文件,因此直接点击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第5张图片

目前无需添加约束文件,因此直接点击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第6张图片

根据开发板手册,选择FPGA型号,选择完成后,单击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第7张图片

单击“Finish”,完成工程创建。

中科亿海微FPGA初体验-LED流水灯实验_第8张图片

添加设计文件

双击“Add Sources”开始添加文件,

中科亿海微FPGA初体验-LED流水灯实验_第9张图片

选择“Add or create design sources”,单击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第10张图片

单击“Create File”,

中科亿海微FPGA初体验-LED流水灯实验_第11张图片

将文件名改为“flow_led”,并单击“OK”进入下一步,

中科亿海微FPGA初体验-LED流水灯实验_第12张图片

单击“Finish”结束,

中科亿海微FPGA初体验-LED流水灯实验_第13张图片

双击“flow_led.v”文件,将代码写入文件中(代码为中科亿海微开发板中包含的例程,在本文后提供),

中科亿海微FPGA初体验-LED流水灯实验_第14张图片

添加约束文件

双击“Add Sources”开始添加文件,

中科亿海微FPGA初体验-LED流水灯实验_第15张图片

选择“Add or create constraints”,单击“Next”,

中科亿海微FPGA初体验-LED流水灯实验_第16张图片

将约束文件命名为“flow_run.edc”,单击“Finish”结束,

中科亿海微FPGA初体验-LED流水灯实验_第17张图片
双击左侧“flow_led.edc”文件,将约束文件写入(代码为中科亿海微开发板中包含的例程,在本文后提供),

中科亿海微FPGA初体验-LED流水灯实验_第18张图片

编译工程

单击“Generate Bitstream”,开始编译,

中科亿海微FPGA初体验-LED流水灯实验_第19张图片

弹窗提示没有实现,单击“OK”,

中科亿海微FPGA初体验-LED流水灯实验_第20张图片
右上角会显示编译进度,当显示“Generate Bitstream Complete”时,表示编译完成,如下图所示,

中科亿海微FPGA初体验-LED流水灯实验_第21张图片

下载运行

此时将开发板上电,随后双击“Open Target”,

中科亿海微FPGA初体验-LED流水灯实验_第22张图片

单击“Auto Detect”,自动识别设备,

中科亿海微FPGA初体验-LED流水灯实验_第23张图片

点击“Add File”,添加下载文件,一般为默认。随后勾选“Program Configure”,点击“Start”开始烧写,

中科亿海微FPGA初体验-LED流水灯实验_第24张图片

弹窗表示烧写成功,

中科亿海微FPGA初体验-LED流水灯实验_第25张图片

完成后,观察LED闪烁方式,与设计一致。

中科亿海微FPGA初体验-LED流水灯实验_第26张图片

总结

中科亿海微的开发工具eLinx与AMD Xilinx的Vivado开发工具几乎完全一下,比较方便于FPGA开发人员上手使用。

资源链接

链接:https://pan.baidu.com/s/1E0tBcCMn8UK8kn5Ja9Lekw
提取码:ta1e

你可能感兴趣的:(国产FPGA,fpga开发)