超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查

目录

一、前言

二、准备工作

三、Vivado配置Sublime

3.1 Vivado配置Sublime

3.2 环境变量添加

3.3 环境变量验证

3.4 Vivado设置

3.5 配置验证

3.6 解决Vivado配置失败问题

四、Sublime配置

4.1 Sublime安装Package Control

4.2 Sublime安装Verilog插件

4.3 安装语法检查工具Iverilog

4.4 Sublime安装插件sublimelinter和sublimelinter-contrib-iverilog

4.5 Sublimelinter配置Iverilog

4.6 结果验证


一、前言

    对于使用过其他IDE或编辑工具如Sublime,Vim等小伙伴,在使用Vivado时会发现自带的编辑器很不友好,如缩进功能不友好,不支持高亮显示,不支持自动补全等常用功能。因次,使用第三方编译的需求是很强,但在网上搜索了相关的博文后,发现很多不全或场景不符,在踩了能踩的坑后,终于将整个流程整明白,配置成功,下面将分享整个配置的过程,希望后面的小伙伴能避坑,配置一步到位。

二、准备工作

    软件准备

1、sublime安装包(版本不做要求,可自行网上下载,本人使用的是2022版)

2、Vivado(已安装),使用的是Vivado2019.1

3、操作系统window10

    关于Sublime的安装就不做说明了,傻瓜式地一键点击,Vivado也是在已安装的前提,如果没有安装需要Vivado的安装包及安装说明可参见之前的文章https://blog.csdn.net/zyp626/article/details/128357763,里面有相关安装说明,并且Vivado是安装后就已破解,无需其他破解操作。

三、Vivado配置Sublime

3.1 Vivado配置Sublime

主要是指在Vivado中将Sublime配置为默认的编辑器,此部分之前写过文章Vivado配置外部编辑器,CSDN路径: https://blog.csdn.net/zyp626/article/details/128357763。为不用跳转方便查看,也将其主要内容搬运过来。

3.2 环境变量添加

    进行配置前,需要电脑上已安装外部编辑器,并且已添加到环境变量中,因为Vivado是通过命令方式进行启用外部编辑器,环境变量设置以window10系统为例,搜索框直接输入环境变量,最上方将出现搜索结果

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第1张图片

 

 添加已安装程序的环境变量,进入“高级-》环境变量-》Administrator-》Path”

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第2张图片

 

    在Path变量中新增,此处已添加Notepad++和sublime。需注意添加时只要到可执行程序的路径即可,不能加上可执行文件名称如Sublime_text.exe等

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第3张图片

3.3 环境变量验证

    打开命令窗口,直接输入编辑器名称,按Enter键后如果能直接打开编辑器说明配置成功,否则会报错,编辑器名称通常在编辑器安装路径的bin目录下,以sublime为例,名称为sublime_text,如果只输入sublime,则提示非内部或外部命令

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第4张图片

 

验证结果

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第5张图片

 

3.4 Vivado设置

    以Vivado2019.1版本为例,配置路径为“Tools->Settin->Tool Settings->Text Editor”,Current Editor中设置的即为当前使用的编辑器,默认为Vivado Text Editor,表示自带的编辑器。

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第6张图片

 

点击下拉框展示可选择项,显示了一些常用编辑器,选择的前提是电脑已安装该编辑器并且已配置环境变量。

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第7张图片

 

    此处选择Sublime为例,点击apply,ok设置完毕,如果要确认是否设置成功可直接再次进入查看,显示为Sublime说明设置成功

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第8张图片

3.5 配置验证

    设置完毕后验证是否配置成功,进入到Vivado的source目录,双击任意任意一个.v文件,提示如下,打开失败

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第9张图片

 

将报错信息中的命令复制到命令窗口执行,根据报错可知是命令有问题,此处原因是应用名称并非sublimetext,应为sublime_text

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第10张图片

 

将名称修改为sublime_text后执行成功,使用sublime打开了指定文件Divider.v文件。

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第11张图片

 

3.6 解决Vivado配置失败问题

    根据2.4可知直接配置项选择sublime存在Vivado默认的编辑器名称和实际安装的存在差异,导致打开失败。解决办法为Current Editor中设为Custom Editor,再点击方框2,弹出Custom Editor Definition设置窗口,在Editor输入命令“sublime_text +[line number] [file name]”,sublime_text表示执行程序的名称,根据实际应用安装的名称来,设置完后进行保存

查看sublime的应用名称,如此处为“sublime_text”

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第12张图片

 

此处需只有红框3处的名称需与上述应用名称对应一致,否则就会打开失败

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第13张图片

 

重新进入Vivado的source窗口双击xxx.v文件后,会自动使用sublime打开文件。

四、Sublime配置

    在第三部分完成了Vivado配置Sublime为外部编辑器后,接下来就是对Sublime配置。Sublime的配置总体也比较简单,其实就是需要安装3个关键性的插件:Verilog,SublimeLinter,Sublime_contrib_iverilog与1个语法检查工具Iverilog的安装

Sublime支持的插件可在网站Browse - Package Control查看。

4.1 Sublime安装Package Control

    对于之前未安装过sublime,第一次安装时,进入Preference中,先进入Tools安装Package Control,双击install Package Control,几秒后有弹框弹出提示安装成功,安装后在Preference可看到Package Control选项

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第14张图片

 

4.2 Sublime安装Verilog插件

Sublime默认支持的Syntax语言中是没有Verilog,需安装支持verilog的插件

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第15张图片

 

    打开Sublime,进入"Preference->Package Control"

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第16张图片

 

    进入Package Control界面后,在后面的输入框中输入install后,下方会自动显示可选项,双击选择install package,将进入安装界面

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第17张图片

 

    在安装界面后,输入框输入verilog,下方会自动显示候选项,双击下方的Verilog进行安装

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第18张图片

 

几秒中后即可完成安装,重新进入View->Syntax,此时语言中已有Verilog,说明安装成功,注:安装过程页面是没有任何变化的,容易误以为安装失败

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第19张图片

 

此时已能高亮显示关键字,但如23行module定义中少了一个括号是无法进行语法检查的

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第20张图片

4.3 安装语法检查工具Iverilog

Verilog语法实时检查使用Iverilog,Iverilog下载地址:http://bleyer.org/icarus/

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第21张图片

 

本人下载的是最新的,其他的旧版本也可以。下载后安装很简单,一键式不断点击。注意:安装路径不要带有中文

4.4 Sublime安装插件sublimelinter和sublimelinter-contrib-iverilog

安装插件sublimelinter和sublimelinter-contrib-iverilog,方法与安装verilog相同,输入ssublimelinter,双击下方的sublimelinter即可

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第22张图片

 

再次输入ssublimelinter-contrib-iverilog,双击下方的sublimelinter-contrib-iverilog。

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第23张图片

 

安装成功会自动跳入Package control Message界面。

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第24张图片

 

在Preference->Package Settings中可以看到已安装的SublimeLinter-contrib-iverilog

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第25张图片

 

4.5 Sublimelinter配置Iverilog

在完成Iverilog和插件sublimelinter和sublimelinter-contrib-iverilog的安装后,需进行配置使得sublimelinter能够调用Iverilog进行语法检查

进入“Preference->Package Settings->Sublimelinter->Settings”

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第26张图片

 

进入如下图界面,右侧即为用户配置界面,添加红框中的内容,paths中为windows配置iverilog的安装路径。

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第27张图片

 

可从下面代码进行复制,修改iverilog路径即可

// SublimeLinter Settings - User
{
	// "debug": true,
	"paths": {
   	"linux": [],
    "osx": [],
    "windows": [
        "D:\\iverilog\\iverilog\\bin", //iverilog的安装目录
    ],
 },

	"linters": {
    "iverilog": {
        "disable": false,
        "args": ["-i"], // add the "-i" flag
        "excludes": [],
    },    },

}

4.6 结果验证

    在完成上述配置后,关闭sublime后重新打开,如下图示例,有两处错误,报错的位置行数左侧有红点,23行模块定义少了右括号,33报错是因为41行中else拼写错误

超详细-Vivado配置Sublime+Sublime实现Verilog语法实时检查_第28张图片

 

你可能感兴趣的:(Vivado,常用软件工具,sublime,sublime,text,编辑器,Vivado,verilog语法检查,高亮显示,缩进)