[HDLBits] Kmap1

Implement the circuit described by the Karnaugh map below.

[HDLBits] Kmap1_第1张图片

Try to simplify the k-map before coding it. Try both product-of-sums and sum-of-products forms. We can't check whether you have the optimal simplification of the k-map. But we can check if your reduction is equivalent, and we can check whether you can translate a k-map into a circuit.

//先空着,写到了再来修改

你可能感兴趣的:(HDLBits,fpga开发,verilog,fpga)