VHDL设计出租车计价器

下面是本设计功能,功能可以增添修改。代码有详细注释。

 本工程创建于vivado下

下面是工程截图:

VHDL设计出租车计价器_第1张图片

适用于quartusII、vivado、ISE等环境。

---文件名:           texi_all.vhd
---功  能:          出租车计价器
---说  明:          三公里以内10元,以后每增加一公里加1.6元,2分钟以后每停一分钟加1.5元。         
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity texi_all is
    Port (clk : in std_logic;
        start : in std_logic;  --使能信号;
         dina  : in std_logic;    --停车信号;
        dinb  : in std_logic;  --公里脉冲信号;
        cs    : out std_logic_vector(1 downto 0);
        shift : out s

你可能感兴趣的:(D1:VHDL设计,fpga开发)