小流程

ZYNQ7当作一个硬核IP来使用

配置最小系统。【必须包含:串口、SD卡接口、ETH接口】

Create HDL Wrapper

综合布局布线、生成bit流文件三部曲。(自定义IP的添加,以后再说)

导出HDF,HDF文件包含了最小SOC板级支持信息。

导出HDF,一定勾选include bitstream

最小Soc系统构建完成,改系统可以用于测试PYNQ框架部分基本功能。

Quard SPI Flash MIO 1...6 ---- Single SS 4-bit IO MIO 1...6   

Feedback CLK MIO 8

ENET0  16-27        MDIO 52-53

USB0    28-39

SD0 MIO 40-45    CD MIO 47

UART1 MIO 48...49

Bank1    1.8v

clock里面:33.333333  CPU 666.666666  DDR  533.333333

时钟  QSPI 125

DDR型号:MT41K128M16JT-125

加上一个XDC  如下:

create_clock -period 10.000 -name CLK_i [get_ports CLK_i]

set_property PACKAGE_PIN H16 [get_ports CLK_i]

set_property IOSTANDARD LVCMOS33 [get_ports CLK_i]

set_property PACKAGE_PIN T19 [get_ports RSTn_i]

set_property IOSTANDARD LVCMOS33 [get_ports RSTn_i]

set_property PACKAGE_PIN J16 [get_ports {LED_o[0]}]

set_property PACKAGE_PIN K16 [get_ports {LED_o[1]}]

set_property PACKAGE_PIN G15 [get_ports {LED_o[2]}]

set_property PACKAGE_PIN H15 [get_ports {LED_o[3]}]

set_property IOSTANDARD LVCMOS33 [get_ports {LED_o[*]}]

#bit compress

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]

set_property CFGBVS VCCO [current_design]

set_property CONFIG_VOLTAGE 3.3 [current_design]

一切ok了后,在Source下,system下(.bd)右击创建顶层文件。必不可少。

之后被当作普通的ip使用了,再右击generate output products,,有PL部分的,需要选择bitstream

更新              :sudo apt-get update

检查依赖关系  :sudo apt-get check

升级                :sudo apt-get upgrade


软件安装    安装VI/VIM编辑器:

    sudo apt-get install vim

卸载软件  : sudo apt-get remove XXX

VMware Tools 虚拟机和电脑之间,建立共享的文件夹。  进行安装(见正点原子 第四章 4.2)

[换库] (如果不换,正常运行,也可)。可参考:这个库  mirrors.ustc.edu.cn/ubuntu

Ubuntu系统搭建tftp服务器。(正 4.3)

sudo apt-get install tftp-hpa tftpd-hpa

sudo mkdir -p /tftpboot

sudo chmod 777 /tftpboot

sudo vim /etc/default/tftpd-hpa

(不行就给tftpd-hpa文件加个777权限)

#TFTP_USERNAME="tftp"

#TFTP_DIRECTORY="/var/lib/tftpboot"

#TFTP_ADDRESS=":69"

#TFTP_OPTIONS="--secure"

TFTP_USERNAME="tftp"

TFTP_DIRECTORY="/tftpboot"

TFTP_ADDRESS=":69"

TFTP_OPTIONS="-l-c-s"

sudo service tftpd-hpa restart

sudo apt-get install nfs-kernel-server rpcbind

关于FTP:

关于UBuntu和windows之间文件的互传,需要在UBuntu中进行一些命令的操作:

sudo apt-get install vsftpd

sudo vi /etc/vsftpd.conf

下面两行修改如下:

local_enable=YES

write_enable=YES

sudo /etc/init.d/vsftpd restart

uname -a  查看版本号

先配置,系统需要的必要运行软件,以及依赖库。(配的是正点的P205下方)

油多不坏菜,配置按照vivado的环境:就按照205页来

sudo apt-get install tofrodos iproute2 gawk gcc g++ git make net-tools libncurses5-dev \

tftpd zlib1g:i386 libssl-dev flex bison libselinux1 gnupg wget diffstat chrpath socat \

xterm autoconf libtool tar unzip texinfo zlib1g-dev gcc-multilib build-essential \

libsdl1.2-dev libglib2.0-dev screen pax gzip automake

再按照csdn上的库进行配置,应该说为了同一个目的。【没留网址,但应该没错】

[[[

[[[

[[[

#修改shell环境

sudo dpkg-reconfigure dash

#选择no

sudo apt-get install -y gcc git make net-tools libncurses5-dev tftpd zlib1g-dev libssl-dev \

flex bison libselinux1 gnupg wget diffstat chrpath socat xterm autoconf libtool tar unzip \

texinfo zlib1g-dev gcc-multilib build-essential libsdl1.2-dev libglib2.0-dev zlib1g:i386 \

screen pax gzip

sudo apt-get install tofrodos gawk xvfb zlib1g-dev:i386

sudo apt-get install tftpd-hpa

sudo chmod a+w /var/lib/tftpboot/

]]]

]]]

]]]

安装一下依赖库(正 5.3.1)

(正 5.3.2)(5.3.4)

5.5 SDK 没有安装,做镜像不需要,避免事多麻烦

安装petalinux:到share文件夹中

(./petalinux...    /opt/pkg/petalinux/2018.3)

安装petalinux后再安装vivado就行了 

vivado的路劲/opt/Xilinx/Vivado/2018.3

(sudo ./xsetup )

git clone https://github.com/Xilinx/PYNQ.git

cd PYNQ

git checkout v2.4

git checkout -b pynq-z2

chmod +x setup_host.sh

./setup_host.sh(这一步需要翻墙操作)

sudo gedit ~/.bashrc

在文档末尾加上:

source /opt/pkg/petalinux/2018.3/settings.sh

source /opt/Xilinx/Vivado/2018.3/settings64.sh

source /opt/Xilinx/SDK/2018.3/settings64.sh

export PATH=/opt/qemu/bin:/opt/crosstool-ng/bin:$PATH

进入文件夹 /opt/crosstool-ng/lib/crosstool-ng-1.22.0/scripts/build/libc/

打开文件newlib.sh

将"{http://mirrors.kernel.org/sourceware/newlib,

ftp://sourceware.org/pub/newlib}"

改为 “ftp://sourceware.org/pub/newlib"或者"http://mirrors.kernel.org/sourceware/newlib”

因为没有安装SDK,所以进入/PYNQ/sdbuild/文件夹,打开Makefile文件,

删除  which sdk | fgrep ${KERNEL_VERSION}

框架移植:

在上一步床创建的Vivado工程目录中找到

.runs\impl_1_wrapper.bit

.sdk_wrapper.hdf

其中为你在Vivado中所命名的工程名

修改二者文件名为base.bit 以及system.hdf

其中bit流文件用于FPGA配置,hdf文件用于对已建立的Soc系统进行描述

在 /PYNQ/sdbuild/ 目录下新建文件夹 ‘prebuilt’

将bionic.arm.2.4.img文件拷贝至该文件夹

在 /PYNQ/boards/ 目录下新建文件夹 ‘pynq-z2’

在 pynq-z2 中再新建两个文件夹分别为 base 和petalinux_bsp

在 petalinux_bsp 中再建立文件夹 hardware_project

分别将base.bit拷贝到base;system.hdf拷贝到hardware_project

复制/boards/pynq-z2中的 .spec文件至pynq-z2下并修改文件夹名为pynq-z2.spec

打开pynq-z2.spec,修改内容如下:

ARCH_${BOARDS}:= arm

BSP_${BOARDS}:=

BITSTREAM_${BOARDS}:= base/base.bit

STAGE4_PACKAGES_pynq-z2:= pynq ethernet

返回 /PYNQ/sdbuild/ 打开终端

make BOARDS=pynq-z2 \

PREBUILT=./prebuilt/bionic.arm.2.4.img

但还是会出现个错误,免密。

sudo visudo

在 %sudo ALL=(ALL:ALL) ALL下面添加一行

zhanghan ALL=(ALL) NOPASSWD: ALL    即可。

PetaLinux 工具文档,里面有非常细致的说明!

https://china.xilinx.com/support/documentation/sw_manuals/xilinx2019_1/c_ug1144-petalinux-tools-reference-guide.pdf

你可能感兴趣的:(小流程)