ZYNQ 通过GP总线读取PL端RAM存储的数据

一,RAM介绍

1,BRAM (Block Memory)是zynq PL端的存储RAM单元,可以配置为双口RAM,用于实现Zynq中PS端到PL端的数据交互和共享,也就是将数据写入共同可访问的数据空间,PS和PL端各自访问来达到信息交流的目的,类似为Linux中的内存共享。

ZYNQ 通过GP总线读取PL端RAM存储的数据_第1张图片

2,SRAM介绍(双端口RAM结构)

        Xilinx的ZYNQ内部FPGA是virtex7系列,内部有32KB的Block Memory,可以用它作为ROM,Single-Port RAM, Dual-Port RAM或Simple Dual-Port RAM, RAM的区别在于读写数据线与地址总线的数量的区别,根据自己的需求进行选择。

ZYNQ 通过GP总线读取PL端RAM存储的数据_第2张图片

二,双端口RAM控制信号

你可能感兴趣的:(ZYNQ 通过GP总线读取PL端RAM存储的数据)