DC综合之.synopsys_dc.setup脚本编写

         .synopsys_dc.setup在DC的安装目录,用户目录,以及自己创建的工程目录下都可以有这个文件,DC安装目录和用户目录下的setup文件我们一般是不用的。而工程目录下面的setup是我们经常拿来改动的。这个工程目录下面肯定是空的,需要我们自己手写。

        .synopsys_dc.setup其实就是DC的配置文件,它配置DC启动过程中要执行哪些命令、干哪些事,最主要的就是设置库,在启动DC后会自动加载到DC中。

################################
##Library Setting
###################################
echo "scc40nll_vhsc40_rvt_tt_v1p1_25c_basic"
echo "selected process technology library 40nm"

set 40NM_DB_LIB	    "/storage1/2022training/server2_20/s2_20_be/Desktop/Image-Classification-using-CNN-on-FPGA-main/library"

set search_path  "$search_path $40NM_DB_LIB"

set link_library "* $40NM_DB_LIB/scc40nll_vhsc40_rvt_tt_v1p1_25c_basic.db"

set target_library "$40NM_DB_LIB/scc40nll_vhsc40_rvt_tt_v1p1_25c_basic.db"


set hdlin_enable_vpp true
set hdlin_translate_off_skip_text true

alias cud current_design
alias h history


echo "****** end ******"

        target_library/工艺库,有.db .lib两种格式,前一种为DC所用,打开看不懂,后面一种为人读所用。.lib可以t通过工具转换为.db。此库主要描述了,工艺库中包含了各个门级单元的行为、引脚、面积以及时序信息(有的工艺库还有功耗方面的参数),DC在综合时就是根据target_library中给出的单元电路的延迟信息来计算路径的延迟。并根据各个单元延时、面积和驱动能力的不同选择合适的单元来优化电路。上面所说的计算,更多的是指用查找表来做。

        link_library/ 链接库,这其中不仅包括target_library还包含工程加入的例如ip核等文件,*代表DC的内存区域(个人也说不清楚,加上就可以了)

        symbol_library/此文件为使用GUI模式显示所用

        search_path 就是告知DC如果上面那些命令没有加绝对路径,去哪里找

1.set 40NM_DC_LIB :40nm工艺库的路径

2.set search_path  :库的搜索路径

3.set link_path  :链接库名称

4.set target_library :目标库名称

alias是设置一些命令的简称,这里可自行设置。

echo 是自己设置读取每条命令以后显示在终端的内容,这个根据自己喜好可以随便设

.synopsys_de.setup文件里面我就设置了这么多东西,如果工程量比较大的话,还可以细分一下文件夹。至于DC在工作中的一些命令可以设置tcl文件,这个在以后的文章中会专门分享。

最后,我也是刚刚学习DC综合,如果有什么不对的地方,还希望大家多多批评指正,谢谢啦!

你可能感兴趣的:(DC综合,硬件架构,fpga开发,经验分享)