fsdb dump选项汇总

Option

Description

Example

+fsdb+vf_fullpath

The FSDB file path in autoswitch virtual file is the full path. The default is relative path.

+fsdb+vf_fullpath

+fsdb+all[=on|off]

Enables dumping of all signals including memory, MDA, packed array, structure, union, power-related, and packed structure in all scopes specified in $fsdbDumpvars or the entire design if no scope is specified. The default is off.

+fsdb+all=on

+fsdb+autoflush

Enables the automatic flush function when the simulation is stopped with the $stop dumping command or by using the Ctrl-C shortcut key.

This option is useful when dumping the FSDB file in batch mode and to view the results on nWave immediately.

+fsdb+autoflush

+fsdb+flush_period=
seconds

Enables to flush the value of signals into the FSDB file for the seconds specified in real time.

NOTE: The time is provided in seconds and it supports only integer value. If the second is provided as "0" seconds, then the feature is turned off.

+fsdb+flush_peroid=2

NOTE: The value of signals are flushed into the FSDB file every 2 seconds.

+fsdb+flush_sim_period=simtime_with_unit

Enables to flush the value of signals into the FSDB file for the flush interval based on simulation time.
NOTE: If simtime_with_unit is provided as "0", then the feature is turned off.

+fsdb+consumer_thread_num=n

where n is a number between 1 to 4

Improves the dumping performance of FSDB dumping thread in the cases where the FSDB dumping thread is slower than the simulation thread.

Notes:

•This option is supported only with VCS simulator.

•The multi-consumer flow can be enabled in 64-bit simulation and with VCS2019.06 (or newer versions)

•Supported only for dumping Verilog signals.

•The limit of FSDB file size is invalid in multi-consumer flow. Therefore, the following options are ignored:

•Simulator command line option +fsdb+dump_limit=.

•Environment variable FSDB_DUMP_LIMIT.

•Limit_Size argument in fsdbDumpfile task.

•fsdbDumplimit task.

•Does not support sequence dumping in multi-consumer flow.

•The size of each FSDB file generated in auto-switch dumping can be different from the file size limit specified in fsdbAutoSwitchDumpfile task.

+fsdb+consumer_thread_num=2

+fsdb+delta

Enables the following options, specifying the glitch value:

+fsdb+glitch=0

+fsdb+sequential

+fsdb+region

NOTE: If the +fsdb+glitch option is also enabled individually, the glitch value specified with the +fsdb+glitch option overwrites the glitch value specified with the +fsdb+delta option.

+fsdb+delta

+fsdb+dump_limit=
size

Limits the size of the FSDB file.

The default is 10MB.

NOTE: The minimum limit size is 10MB. If limit size is set to less than 10MB, the limit size is set to 10MB automatically.

+fsdb+dump_limit=15

+fsdb+dump_log
[=on|off]

Enables/disables novas_dump.log. The default is on.

+fsdb+dump_log=on

+fsdb+dumpoff_glitch+time[+htime

or +fsdb+dumpoff_glitch+time[time_unit

Specifies the time {htime, time} to disable dumping of glitches.

NOTE: The +fsdb+glitch=num option is required before this option works.

NOTE: When the time_unit is

not specified, the simulation

time unit of Verilog is used

as the default time unit.

NOTE: If multiple dump off

times are needed, repeat this

option for each dump time.

+fsdb+dumpoff_ glitch

+123+2

(Turn off dumping

glitch commands at the

specified time:

{32'd<2>, 32'd<123>})

or

+fsdb+dumpoff_ glitch

+3000ps

+fsdb+dumpoff_sequence+time[+htime]

or +fsdb+dumpoff_sequence+time[time_unit]

Turns off dumping sequence commands at the specified time {htime, time}.

NOTE: When the time_unit is not specified, the simulation time unit of Verilog is used as the default time unit.

NOTE: If multiple dump off times are needed, repeat this option for each dump time.

+fsdb+dumpoff_sequence
+123+2

(Turn off dumping sequence commands at the specified time:
{32'd<2>, 32'd<123>})

or

+fsdb+dumpoff_sequence
+3000ps

+fsdb+dumpoff+time
[+htime]

or

+fsdb+dumpoff+time
[time_unit]

Turns off dumping commands at the specified time {htime, time}.

NOTE: When the time_unit is not specified, the simulation time unit of Verilog is used as the default time unit.

NOTE: If multiple dump off times are needed, repeat this option for each dump time.

+fsdb+dumpoff+2500+2
(Turn off dumping sequence commands at the specified time:
{32'd<2>, 32'd<2500>})


or

+fsdb+dumpoff+2500ps

+fsdb+dumpon_glitch
+time[+htime]

or

+fsdb+dumpon_glitch
+time[time_unit]

Specifies the time {htime, time} to enable dumping of glitches.

NOTE: The +fsdb+glitch=num option is required before this option works.

NOTE: When the time_unit is not specified, the simulation time unit of Verilog is used as the default time unit.

NOTE: If multiple dump off times are needed, repeat this option for each dump time.

+fsdb+dumpon_ glitch

+123+2

(Turn on dumping

glitch commands at the

specified time:

{32'd<2>, 32'd<123>})

or

+fsdb+dumpon_ glitch

+3000ps

+fsdb+dumpon_sequence+time[+htime]

or +fsdb+dumpon_sequence+time[time_unit]

Turns on dumping sequence commands at the specified time {htime, time}.

NOTE: When the time_unit is not specified, the simulation time unit of Verilog is used as the default time unit.

NOTE: If multiple dump on times are needed, repeat this option for each dump time.

+fsdb+dumpon_sequence
+123+2

(Turn on dumping sequence commands at the specified time:
{32'd<2>, 32'd<123>})

or

+fsdb+dumpon_sequence
+3000ps

+fsdb+dumpon+time[+htime]

or

+fsdb+dumpon+time[time_unit]

Turns on dumping commands at the specified time {htime, time}.

NOTE: When the time_unit is not specified, the simulation time unit of Verilog is used as the default time unit.

NOTE: If multiple dump on times are needed, repeat this option for each dump time.

+fsdb+dumpon+500+2

(Turn on dumping sequence commands at the specified time:
{32'd<2>, 32'd<500>})
or

+fsdb+dumpon+2500ps

+fsdb+esconfig="filename"

Specifies the ESA options in a text file. Options in the file can be separated by spaces or lines. Refer to the ESA Options section for available options.

+fsdb+esconfig="My_ESA_option.txt"

+fsdb+esdb="esdb_filename"

Specifies the ESDB file name. The FSDB dumper uses the essential signals in this file to determine which signals to dump.

NOTE: esdb_filename is the file generated by the esa utility with the -db option.

+fsdb+esdb="es"

+fsdb+esdb_es_only
[=on|off]

Enables Essential Signal dumping. The default is on.

+fsdb+esdb_es_only=on

+fsdb+esoptions="ESAoptions"

Specifies the ESA options. Refer to the ESA Options section for available options.

+fsdb+esoptions="
-xsignalfile my.list -xscope top"

+fsdbfile+filename

Specifies the FSDB file name. If it is not specified, the default FSDB file name is "novas.fsdb".

+fsdbfile+test1.fsdb

+fsdb+force

Enables dumping the force status of the Verilog signal.

+fsdb+force

+fsdb+functions

Set to enable dumping the signals in function and task.

NOTE: The -debug_access+dmptf option must be specified for VCS compile before setting the +fsdb+functions option.

NOTE:

The -debug_access+all option is also applicable in the place of -debug_access+dumptf. Starting from VCS 2017.12, the +all option includes dumptf option also.

+fsdb+functions

+fsdbLogOff

Avoids dumping logging messages.

+fsdbLogOff

+fsdbLog=severity

Dumps logging messages by level of severity.

+fsdbLog=3
+fsdbLog=-1
+fsdbLog=~5 ...
+fsdbLog=7~ ...
+fsdbLog=5~7 ...
+fsdbLog=7~5 ...

+fsdb+power

Enables dumping of power-related signals.

NOTE: Power-related signals include the power supply nets and power domain states. Power-related signals are dumped hierarchically under the $power_root folder.

NOTE: If the +fsdb+power or +fsdb+all=on option is not specified, the power-related signals are not dumped.

NOTE: This option is supported only with VCS simulator.

+fsdb+power

+fsdb+glitch=num

Enables specifying the glitch number. Range: 0 ~ 254

Number:

0: All glitches are stored.

1: The last glitch is stored.

2: The first and the last glitches are stored. Refer to the NOVAS_FSDB_ENV_MAX_
GLITCH_NUM environment variable for details.

+fsdb+glitch=0

+fsdb+ignore_vhdl_
complex[=on|off]

Do not dump complex signal types for VHDL such as records, multi-dimensional arrays, and array of records.

+fsdb+ignore_vhdl_
complex=on

+fsdb+io_only

Dumps only input/output port signals.

+fsdb+io_only

+fsdb+keep_cell_
module=
module_name

Specifies the module that is not skipped when the skip_cell_instance option is turned on. The wildcard character (*) is supported.

NOTE: This option can be specified multiple times in one simulation and multiple cell modules are kept.

NOTE: When specifying this option multiple times, use the following format: +fsdb+keep_cell_module=PD +fsdb+keep_cell_module=PD1 + fsdb+keep_cell_module=PD2

Using the following format reports an error: +fsdb+keep_cell_module=PD+PD1+PD2.

+fsdb+keep_cell_module=cell_b

or

+fsdb+keep_cell_module="cell_*"

+fsdb+max_var_elem= num

For VCS simulator, use

setenv FSDB_MAX_VAR_ELEM For IUS simulator, use

setenv FSDB_PLI_UPARR_SIZE_LIMIT

NOTE:.

These environment variables allows you to choose the upper boundary in order to get a better dumping performance.

For example:

•setenv FSDB_MAX_VAR_ELEM 100

•simv +fsdb+max_var_elem=100

Reg a[1:99]; // 99 elements, are dumped,

Reg b[1:100]; // 100 elements, are not dumped.

+fsdb+max_var_elem

+fsdb+mda[=on|off]

Enables/disables dumping all MDA signals. The default is off.

+fsdb+mda=off

+fsdb+packedmda
[=on|off]

Enables/disables dumping packed MDA signals. The default is off.

NOTE: This option replaces the +mda+packedOnly option.

+fsdb+packedmda=on

+fsdb+msv+i

Dumps analog current signals.

NOTE: Additional current voltage signals is dumped with this option.

NOTE: It is required to turn off the shadow module optimization in the CustomSim initial file and compile the -debug_access option.

NOTE: It is required to add the iprobe_wavefore_current in the CustomSim configure for dumping the current with this option.

+fsdb+msv+i

+fsdb+msv+i=[n|all]

Dumps the current of terminals. n is a positive integer. When all is specified, the currents of all terminals are dumped.

NOTE: Additional analog current signals can be dumped with this option.

NOTE: It is required to turn off the shadow module optimization in the CustomSim initial file and compile the -debug_access option.

NOTE: It is required to add the iprobe_wavefore_current in the CustomSim configure for dumping the current with this option.

+fsdb+msv+i=1

+fsdb+msv+i=2

or

+fsdb+msv+i=all

+fsdb+msv+v

Dumps analog voltage signals.

NOTE: Additional analog voltage signals can be dumped with this option.

NOTE: It is required to turn off the shadow module optimization in the CustomSim initial file and compile the -debug_access option.

+fsdb+msv+v

+fsdb+msv+v=[n|all]

Dumps the voltage of terminals.

n is a positive integer. When all is specified, the voltages of all terminals are dumped.

NOTE: Additional analog voltage signals can be dumped with this option.

NOTE: It is required to turn off the shadow module optimization in the CustomSim initial file and compile the -debug_access option.

+fsdb+msv+v=1

+fsdb+msv+v=2

or

+fsdb+msv+v=all

+fsdb+msv+isub

Dumps the total current flows into the subcircuit port.

+fsdb+msv+isub

+fsdb+no_all_msg

Filters all FSDB dumper messages.

+fsdb+no_all_msg

+fsdb+no_error

Filters the error message.

+fsdb+no_error

+fsdb+no_msg_in_
file+filename

Filters the messages which are specified in a file (for example, no_msg_list.txt).

+fsdb+no_msg_in_file+
no_msg_list.txt

+fsdb+no_msg+
pattern1[+pattern2..]

Filters the messages which contain the specified strings (for example, "Analog").

+fsdb+no_msg+Analog

+fsdb+no_parallel

Disables parallel dumping.

+fsdb+no_parallel

+fsdb+no_psl_scope

Skips dumping the PSL scope named cds_assertion_models that is generated automatically in the simulator.

+fsdb+no_psl_scope

+fsdb+no_warning

Filters the warning message.

+fsdb+no_warning

+fsdb+packed_size=num

Sets the threshold for dumping packed vectors. It can be used to skip dumping of large packed vectors.

For example,

•FSDB_PACKED_SIZE 100

•simv +fsdb+packed_size=100

Bit [1:99] vr1; // total_bit = 99 are dumped

Bit [1:100] vr2; // total_bit = 100 are not dumped

Bit [1:10][1:10] vr3; // total_bit = 100, are not dumped.

+fsdb+packed_size

+fsdb+parameter
[=on|off]

Enables/disables parameter dumping. The default is off.

+fsdb+parameter=on

+fsdb+psl_prop

Dumps the PSL property.

+fsdb+psl_prop

+fsdb+reg_only

Dumps only register type signals.

+fsdb+reg_only

+fsdb+region

Enables region mode dumping.

+fsdb+region

+fsdb+reserve

Do not overwrite the existing FSDB file. Upcoming FSDB files, which share the same name as the reserved one, must be re-named as xxx_r0.fsdb, instead.

+fsdb+reserve

+fsdb+reuse_filename

Reuse an existing FSDB filename if the file itself is removed while the simulation is running.

+fsdb+reuse_filename

+fsdb+sequential

Enables sequence dumping. Refer to the FSDB_ENV_DUMP_
SEQ_NUM environment variable for details.

+fsdb+sequential

+fsdb+skip_cell_
instance=mode

Enables/Disables dumping of cell instance where mode implies:

0: Disables functionality.

1: Skip dumping `celldefine and –v/-y library cells.

2: Skip dumping the content of `celldefine and –v/-y library cells but keep dumping ports.

3: Skip dumping `celldefine library cells and keep dumping –v/-y library cells.

4: Skip dumping `celldefine library cells but keep the ports of the `celldefine library cell. Keep dumping –v/-y library cells.

Others: Show error message and ignore it.

+fsdb+skip_cell_instance=2

+fsdb+strength
[=on|off]

Enables/disables dumping strength. The default is off.

+fsdb+strength=on

+fsdb+struct[=on|off]

Enables/disables dumping struct signals. The default is off.

+fsdb+struct=on

+fsdb+sc_plain

Enables dumping of default item and plain member only.

+fsdb+sc_plain

+fsdb+sc_no_plain_rel

Disables dumping of plain member related item.

+fsdb+sc_no_plain_rel

+fsdb+sc_struct

Enables dumping of default item and variables of user-defined structure.

+fsdb+sc_struct

+fsdb+sc_fifo

Enables dumping of default items and SystemC sc_fifo and

tlm_fifo.

+fsdb+sc_fifo

+fsdb+sva_index_info

Dumps the assertion index information.

+fsdb+sva_index_info

+fsdb+sva_status

Dumps the assertion status.

+fsdb+sva_status

+fsdb+time_precision
=time_unit

Manually set the time precision in the FSDB file.

+fsdb+timeprecision=1ns

+fsdb+trace_process
[=on|off]

Enables/disables dumping VHDL variables under processes. The default is off.

NOTE: For VCS users, the VCS debug level option needs to be set as "-debug_access+all" for dumping process variables.

+fsdb+trace_process=on

+fsdb+trans_error_
callstack

Dumps the full call stack for each transaction error message from the Novas object files for FSDB dumping.

+fsdb+trans_error_
callstack

+fsdb+trans_hide_
error

Hides all transaction error messages from the Novas object files for FSDB dumping.

+fsdb+trans_hide_
error

+fsdb+vhdl_package_lib=+all

or

+fsdb+vhdl_package_lib=lib_name1:
lib_name2:...

Dumps the packages under all libraries or the specified libraries.

NOTE: If the library is not specified, no packages are dumped.

+fsdb+vhdl_package_lib=+all

or

+fsdb+vhdl_package_lib=work:std:ieee

+fsdb+writer_mem_
limit=num

Specifies the limit for the FSDB writer to flush value changes in memory.

The default value is 64 when the FSDB file has less than 5M signals. The default value is 128 when the FSDB file has 5M - 10M signals. The default value is 256 when the FSDB file has more than 10M signals. Valid range is from 4 to 2048. The units are in MB.

+fsdb+writer_mem_limit=
64

+fsdb_trans_file+
filename

Specifies a transaction FSDB file.

NOTE: When this option and the +fsdbfile+filename option are specified simultaneously, this option is ignored.

+fsdb_trans_file+
test1.fsdb

+fsdb+virtual_file

Creates a virtual file that contains all FSDB created during simulation.

+fsdb+virtual_file=all.vf

ESA Options

The ESA options used for +fsdb+esoptions="ESAoption" are summarized in the following table. These options are optional.

Table: ESA Options Supported in Simulator Runtime Phase

Option Syntax

Description

-dumpclk none(n)|all(a)

Specify the clock and reset signal dumping option. The default is all.

-exclude_floating 0|1

Exclude floating net if set to 1. The default is 0.

-exclude_inst_file filename

Exclude signals inside the instances specified in the file.

-exclude_nd_module 0|1

If outputs of library cells cannot be computed, automatically dump the outputs and exclude the internals of the cell. The default is 0.

-hier_replace source_scope=target_scope

Replace the current hierarchy name of the scope with the specified hierarchy name.

-IO instance

Dump I/O ports of instances.

-IO_level N

Specify the level for -IO. This is optional. The default is N=1.

-suppress_mfile filename

Suppress Essential Signal dumping for all modules listed in the specified file.

-xscope instance

Dump all signals for the specified instance.

-xscopefile filename

Dump all signals for the instances specified in the file. Multiple scopes with different levels can be specified. Each pair of the level-scope is separated by a line. If the level of scopes is not specified, its value is the same as the -xscope_level value (the default is 1). The format of xscopefile.f is:
2 top.a
0 top.b
top.c

Example:

simv +vcs+lic+wait +fsdb+esdb=es +fsdb+esoptions="-xscope tb_CPUsystem.i_CPUsystem
-xscope_level 1 -xscopefile xscopefile.f"

In the xscopefile.f:

2 tb_CPUsystem.i_CPUsystem.i
_CPU

-xscope_level N

Specify the level for -xscope. The default is N=1.

-xsignalfile filename

Dump the signals from the specified legacy hierarchical Essential Signal list (text format).

NOTE: To dump the signals from the legacy plain Essential Signal list, use $fsdbDumpvarsByFile.

你可能感兴趣的:(verdi使用,arm开发)