VHDL中&的作用浅谈

&,大家所熟知的按位与,而在VHDL中,&单独出现,还有‘并’的作用。

例:

'1'&'1'="11",常用于不同位数据间的运算与转换。

你可能感兴趣的:(汇编)