verilog readmemh readmemb

用法

$readmemh("hex_mem_file", mem, [start_address], [end_address])
$readmemb("bin_mem_file", mem, [start_address], [end_address])

hex_mem_file 十六进制文本 空格分隔
bin_mem_file 二进制文本 空格分隔
mem 存储数组
start_address 起始地址 可选
end_address 结束地址 可选

reg [31:0] mem [0:15]; 
initial begin
    $readmemh("rom_image", mem);
end

十六进制 文本

aaaa   //注释
ffff
9adf
1234

二进制文本

0001   0010
1001   
1100

换行 tab 都算空格

你可能感兴趣的:(fpga开发,fpga)