vivado 导入工程、TCL创建工程命令、

导入外部项目

您可以使用导入在Vivado IDE外部创建的现有RTL级项目文件Synopsys Synplify。Vivado IDE检测项目中的源文件并自动添加文件到新项目。设置,如顶部模块、目标设备和VHDL库

分配是从现有项目导入的。

1.按照创建项目中的步骤进行操作。

2.在“项目类型”页面中,选择“导入的项目”,然后单击“下一步”。

3.在“导入项目”页面中,使用以下选项指定要导入的项目文件,以及单击“下一步”。

•Synplify:导入指定的Synplify(.prj扩展名)项目文件。

•将源复制到项目中:将文件复制到本地项目目录中,而不是引用原始文件。

vivado 导入工程、TCL创建工程命令、_第1张图片

4.在“新建项目摘要”页面中,查看定义项目的选项,然后单击完成

注意:项目的目标零件是使用导入项目的设置定义的。

Vivado IDE从指定的项目中导入RTL源文件和约束文件,并在指定的目录中创建项目文件。Vivado IDE编写了将过程导入到新项目目录中的“导入摘要报告”日志文件中。在这个摘要文件,您可以查看创建项目时使用的步骤以及任何错误或警告。

Tcl用于处理项目的命令

以下是与创建项目相关联的Tcl命令。有关脚本示例,请参见使用Tcl脚本创建项目。

注意:有关Tcl命令的更多信息,请参阅Vivado Design Suite Tcl命令参考指南(UG835),或键入<command>-help。

Tcl用于创建项目的命令

以下是相关的Tcl命令:

• Tcl Commands: create_project and set_property
• Tcl Command Example (RTL Project):
create_project my_project C:/team/designs/my_project -part
xc7k325tffg676-2
set_property DESIGN_MODE RTL [current_fileset]
• Tcl Command Examples (Post-Synthesis Project):
create_project my_IO_project C:/team/designs/my_IO_project -part
xc7k325tffg676-2
set_property design_mode GateLvl [current_fileset]
• Tcl Command Examples (I/O Planning Project):
create_file project_io C:/projects/project_io -part xc7vx485tffg1157-1
set_property design_mode PinPlanning [current_fileset]

Tcl用于导入项目的命令

以下是相关的Tcl命令:

• Tcl Command:
create_project
import_synplify
• Tcl Command Examples:
create_project project_import_ise C:/projects/project_import_ise
import_synplify -copy_sources C:/projects/old/wave_gen_vhd_s6/
wave_gen_vhd_s6.synplify
set_property DESIGN_MODE RTL [current_fileset]

Tcl用于添加设计源、约束文件和的命令模拟来源

以下是相关的Tcl命令:

•Tcl命令:添加文件或导入文件

•Tcl命令示例:

add_files top.v
import_files -fileset constrs_1 C:/projects/sources/timing.xdc
add_files -norecurse source_dir
import_files source_dir

注意:add_files命令从文件的当前位置引用该文件。导入文件命令将文件复制到项目中。

小心!read_xdc、read_vhdl、read_verilog、read_ip和read_edif Tcl命令仅设计用于非项目模式。有关详细信息,请参阅使用非项目模式下的源。

提示:可以将PATH_MODE属性与add_files Tcl命令一起使用,以指定是否

使用绝对路径或相对路径。默认情况下,使用相对路径。有关更多信息,请参阅Vivado

《设计套件属性参考指南》(UG912)。用于添加现有IP源的Tcl命令

以下是相关的Tcl命令:

•Tcl命令:add_files或import_ip

•Tcl命令示例:

import_ip C:/projects/sources/char_fifo/char_fifo.xci

注意:add_files命令引用XCI文件及其关联的输出产品

当前位置。import_ip命令将XCI文件和关联的输出产品复制到项目

Tcl用于设置项目部分的命令

以下是相关的Tcl命令:

•Tcl命令:create_project或set_property

•Tcl命令示例:

create_project my_project C:/projects/my_project -part xc7k325tffg676-2
set_property PART xc7k70tfbg676-2 [current_project]

注意:您可以在创建项目时或在创建项目后设置零件。

注意:通过在现有的或示例项目。

你可能感兴趣的:(fpga开发)