【SV的虚拟口_2023.1.11】

virtual interface

interface简化了模块之间的连接,但无法很好的适用于基于oop的测试平台,无法在program,class中进行实例化,故引入virtual interface。
消除绝对路径,尽可能的减少验证代码的大面积修改。
本质是指针,指向interface的指针。
virtual interface是可在class中实例化的数据类型,使用virtual interface可与DUT进行间接地通信,而无需使用层次结构引用。

virtual interface的使用:

  1. 实例化的接口连接到DUT
  2. 在类中声明虚接口句柄,并有相应驱动
  3. 将虚接口指向实例化的interface
    【SV的虚拟口_2023.1.11】_第1张图片【SV的虚拟口_2023.1.11】_第2张图片【SV的虚拟口_2023.1.11】_第3张图片

类的补充:作用域

oop::b;//访问类中静态变量,动态变量只能通过句柄的方式访问
//在class外定义function
class oop;
......
extern function dis();
endclass

function oop::dis();
......
endfunction

你可能感兴趣的:(IC验证,IC验证,linux)