移位运算与乘法

移位运算与乘法

题目描述
已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效)
信号示意图
移位运算与乘法_第1张图片
波形示意图
移位运算与乘法_第2张图片

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//
    reg[1:0] count;//计算0-3
    always@(posedge clk or negedge rst)
        begin
            if(!rst)
                count <= 0;
            else
                count <= count + 1;
        end

    //根据波形可以看出不能根据d的值直接给出out的值,所以先对d的值进行寄存,或者使用状态机对其进行赋值
    reg [7:0]d1;
    always@(posedge clk or negedge rst)
        begin
            if(!rst)
                begin
                    out <= 11'b0;
                    input_grant <= 1'b0;
                    d1 <= 8'b0;
                end
               else
                   begin
                       case(count)
                           2'b00:begin
                               out <= d;
                               d1 <= d;
                               input_grant <= 1'b1;
                           end
                           2'b01:begin
                               out <= d1 + {d1,1'b0};
                               input_grant <= 1'b0;
                           end
                            2'b10:begin
                                out <= d1 + {d1,1'b0}+ {d1,2'b0};
                               input_grant <= 1'b0;
                           end   
                           2'b11:begin
                               out <= {d1,3'b0};
                               input_grant <= 1'b0;
                           end
                           default:begin
                                        out <= 11'b0;
                                        input_grant <= 1'b0;
                                        d1 <= 8'b0;
                           end
                       endcase
                   end
        end
//*************code***********//
endmodule

知识点
移位运算符(<<,>>)
双目运算符:两个操作数
移位可以实现无符号数的乘除法,有符号的乘法
补零
"<<"低位补零,无符号/有符号乘法
">>"高位补零,无符号数除法

拼接运算符{}
a=4’b1110;

g = {a,1’b0} = 5’b111100;//拼接->乘法
h = {a[2:0],1’b0} = 4’b1100;//拼接->乘法
i = {1’b0,a[3:1]} = 4’b0111;//拼接->无符号数除法
j = {1’b1,a[3:1]} = 4’b0111;//拼接->有符号数除法

注意:如果表达式中有一个无符号数,则所有的操作数都会被强行转换为无符号数。

你可能感兴趣的:(FPGA基础,fpga开发)