实 验 一 8位 算 术 逻 辑 运 算 实 验

     

1                     

2                 74LS 18 1      

     

1      

               3  1所          

74LS 18 1   /    8位    ALU                

74LS24 5 (U 3 3)到      线 BUSD0  D7插  BUS 1  2        线  JA 3                线   LZD0  LZD 7      ;运                   74LS2 73( U29 、U30                     线 BUS       8芯  线        线 EXD0  D7插  EXJ 1  EXJ 3      ;参          8位     KD0  KD 7 ,并       74LS2 45( U5 1)直         线 EXD0  EXD 7              LD0  LD 7   

              74LS 18 1( U3 1  U32        

S3  S2  S 1  S0  CN  M        6位                 S3  S2  S 1  S0  CN  M来   74LS 18 1( U3 1  U32         S3  S2  S 1  S0 、CN 、M          LDDR 1 、LDDR2 、ALUB `  SWB `            LDDR 1  LDDR2 、ALUB  SWB来                     ,通   线    ,其  ALUB ` 、SWB `为     效  LDDR 1  LDDR2       

    T4                       线  J2 3  T4              SD相                        

2     线

     4个        8位                      线                        

         线   

1 J20,J21,J22,接上短路片,

2 J24J25 J26 接左边;

3 J27,J28  右边;

4 J23  置右边 T4 选“ SD

5 JA5    置“接通”;

6 JA6    置“手动”;

7 JA3    置“接通”;

8 JA1,JA2,JA4 置“高阻”;

9 JA8  置上面“微地址”

10 EXJ1  BUS3

11 、开关 CE  AR     1

3     

     线      线      通 电  

          KD 0  KD 7  DR 1和 DR2      。方  :关  ALU       ALUB `= 1          ( SWB `= 0       T4                             

实 验 一 8位 算 术 逻 辑 运 算 实 验_第1张图片

   LDDR 1  LD DR2  AL UB `  SWB `四            LDDR 1、

LDDR2  ALUB  SW B          1         0      

         T4          

     DR 1和 DR 2                      

   74LS 18 1      ,即 M= 1 。具     :关         SWB `  1    ALU输     ALUB `  0    S3  S2  S 1  S0  M为 1 1 1 1 1    线      DR 1        1 0 1 0 1   线      DR2

   

     74LS 18 1                   

   DR 1= 3 5  DR2=48                                     3  1                


实 验 一 8位 算 术 逻 辑 运 算 实 验_第2张图片

实验结果

DR1

DR2

S3

S2

S1

S0

M=1

M=0,cn=1

预期值

实际值

功能

预期值

实际值

功能

35

48

0

0

0

0

CA

CA

¬A

35

35

A

35

48

0

0

0

1

82

82

¬(A+B)

7D

7D

A+B

35

48

0

0

1

0

48

48

¬AB

87

87

A+¬B

35

48

0

0

1

1

00

00

逻辑0

FF

FF

减一

35

48

0

1

0

0

FF

FF

¬(AB)

6A

6A

A+A¬B

35

48

0

1

0

1

B7

B7

¬B

B2

B2

(A+B)加A¬B

35

48

0

1

1

0

7D

7D

AxorB

EC

EC

A减B减1

35

48

0

1

1

1

35

35

A¬B

34

34

A¬B减一

35

48

1

0

0

0

CA

CA

¬A +B

35

35

A加AB

35

48

1

0

0

1

82

82

¬(AxorB)

7D

7D

A加B

35

48

1

0

1

0

48

48

B

B7

B7

(A+¬B)加AB

35

48

1

0

1

1

00

00

AB

FF

FF

AB加1

35

48

1

1

0

0

FF

FF

逻辑1

6A

6A

2A

35

48

1

1

0

1

B7

B7

A+¬B

B2

B2

(A+B)加A

35

48

1

1

1

0

7D

7D

A+B

EC

EC

(A+¬B)加A

35

48

1

1

1

1

35

35

A

34

34

A减1

你可能感兴趣的:(课内,单片机)