inside 的坑

          最近代码里面有一句inside 判断语句,明明条件满足,但是就是判断失败,代码如下:

xxx;
 if(i inside {[7:0]}) begin //i==5
      xxx;
 end
xxx;

          翻看sv 手册才发现 inside 后面跟的是range value,必须是从小写到大,也就是必须写成 :

if(i inside {[0:7]}) ,这样才能起效。手册如下:

inside 的坑_第1张图片

你可能感兴趣的:(那些年碰到和看到的坑,systemverilog,inside)