VCS命令行CTRL+C后dump完整的fsdb波形

UCLI命令行CTRL+Cdump完整的fsdb波形:

1. ucli% fsdbDumpFinish
2. ucli% fsdbDumpvars 0 "harness" "+mda" "+struct"

如果仿真过程中直接CTRL+C会调到UCLI接口,此时如果仅是将FSDB波形拷贝到某个地方的话,verdi无法打开该波形(verdi -ssf $(tc)_$(seed).fsdb)。另外也无法用fsdb2vcd命令将fsdb波形转化为vcd波形。

fsdbDumpFinish命令将fsdb波形的临时文件合并产生唯一的fsdb波形文件。

fsdbDumpvars 用来启动新的波形文件,文件名为$(tc)_$(seed)_r0.fsdb。新的波形的起始时间为上一波形的结束时间。

参考资料:

  1. linking_dumping.pdf
  2. linking_dumping_pre-2010.01.pdf
  3. verdi.pdf
  4. VerdiTut.pdf
  5. ucli_ug.pdf

你可能感兴趣的:(数字验证,verdi,ucli,dump)