VGA Signal Timing

VGA Signal Timing

640 x 350

VGA 640x350@70 Hz (pixel clock 25.175 MHz)

General timing

Screen refresh rate

70 Hz

Vertical refresh

31.46875 kHz

Pixel freq.

25.175 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

640

25.422045680238

Front porch

16

0.63555114200596

Sync pulse

96

3.8133068520357

Back porch

48

1.9066534260179

Whole line

800

31.777557100298

Vertical timing (frame) Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

350

11.122144985104

Front porch

37

1.175769612711

Sync pulse

2

0.063555114200596

Back porch

60

1.9066534260179

Whole frame

449

14.268123138034

 

VESA 640x350@85 Hz (pixel clock 31.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

37.860576923077 kHz

Pixel freq.

31.5 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

32

1.015873015873

Sync pulse

64

2.031746031746

Back porch

96

3.047619047619

Whole line

832

26.412698412698

Vertical timing (frame) Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

350

9.2444444444444

Front porch

32

0.84520634920635

Sync pulse

3

0.079238095238095

Back porch

60

1.5847619047619

Whole frame

445

11.753650793651

 

640 x 400

VGA 640x400@70 Hz (pixel clock 25.175 MHz)

General timing

Screen refresh rate

70 Hz

Vertical refresh

31.46875 kHz

Pixel freq.

25.175 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

25.422045680238

Front porch

16

0.63555114200596

Sync pulse

96

3.8133068520357

Back porch

48

1.9066534260179

Whole line

800

31.777557100298

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

400

12.711022840119

Front porch

12

0.38133068520357

Sync pulse

2

0.063555114200596

Back porch

35

1.1122144985104

Whole frame

449

14.268123138034

 

VESA 640x400@85 Hz (pixel clock 31.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

37.860576923077 kHz

Pixel freq.

31.5 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

32

1.015873015873

Sync pulse

64

2.031746031746

Back porch

96

3.047619047619

Whole line

832

26.412698412698

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

400

10.565079365079

Front porch

1

0.026412698412698

Sync pulse

3

0.079238095238095

Back porch

41

1.0829206349206

Whole frame

445

11.753650793651

 

640 x 480

VGA 640x480@60 Hz Industry standard (pixel clock 25.175 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

31.46875 kHz

Pixel freq.

25.175 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

25.422045680238

Front porch

16

0.63555114200596

Sync pulse

96

3.8133068520357

Back porch

48

1.9066534260179

Whole line

800

31.777557100298

Vertical timing (frame) Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

480

15.253227408143

Front porch

10

0.31777557100298

Sync pulse

2

0.063555114200596

Back porch

33

1.0486593843098

Whole frame

525

16.683217477656

 

VGA 640x480@73 Hz (pixel clock 31.5 MHz)

General timing

Screen refresh rate

73 Hz

Vertical refresh

37.860576923077 kHz

Pixel freq.

31.5 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

24

0.76190476190476

Sync pulse

40

1.2698412698413

Back porch

128

4.0634920634921

Whole line

832

26.412698412698

Vertical timing (frame) Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

480

12.678095238095

Front porch

9

0.23771428571429

Sync pulse

2

0.052825396825397

Back porch

29

0.76596825396825

Whole frame

520

13.734603174603

 

VESA 640x480@75 Hz (pixel clock 31.5 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

37.5 kHz

Pixel freq.

31.5 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

16

0.50793650793651

Sync pulse

64

2.031746031746

Back porch

120

3.8095238095238

Whole line

840

26.666666666667

Vertical timing (frame) Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

480

12.8

Front porch

1

0.026666666666667

Sync pulse

3

0.08

Back porch

16

0.42666666666667

Whole frame

500

13.333333333333

 

SXGA (Mode 101) 640x480@85 Hz (pixel clock 36.0 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

43.269230769231 kHz

Pixel freq.

36.0 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

17.777777777778

Front porch

56

1.5555555555556

Sync pulse

56

1.5555555555556

Back porch

80

2.2222222222222

Whole line

832

23.111111111111

Vertical timing (frame) Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

480

11.093333333333

Front porch

1

0.023111111111111

Sync pulse

3

0.069333333333333

Back porch

25

0.57777777777778

Whole frame

509

11.763555555556

 

VESA 640x480@100 Hz (pixel clock 43.16 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

50.896226415094 kHz

Pixel freq.

43.16 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

640

14.828544949027

Front porch

40

0.92678405931418

Sync pulse

64

1.4828544949027

Back porch

104

2.4096385542169

Whole line

848

19.647822057461

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

480

9.4309545875811

Front porch

1

0.019647822057461

Sync pulse

3

0.058943466172382

Back porch

25

0.49119555143652

Whole frame

509

10.000741427247

 

720 x 400

VESA 720x400@85 Hz (pixel clock 35.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

37.92735042735 kHz

Pixel freq.

35.5 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

720

20.281690140845

Front porch

36

1.0140845070423

Sync pulse

72

2.0281690140845

Back porch

108

3.0422535211268

Whole line

936

26.366197183099

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

400

10.546478873239

Front porch

1

0.026366197183099

Sync pulse

3

0.079098591549296

Back porch

42

1.1073802816901

Whole frame

446

11.759323943662

 

768 x 576

VESA 768x576@60 Hz (pixel clock 34.96 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

35.819672131148 kHz

Pixel freq.

34.96 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

768

21.967963386728

Front porch

24

0.68649885583524

Sync pulse

80

2.2883295194508

Back porch

104

2.974828375286

Whole line

976

27.9176201373

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

576

16.080549199085

Front porch

1

0.0279176201373

Sync pulse

3

0.083752860411899

Back porch

17

0.4745995423341

Whole frame

597

16.666819221968

 

VESA 768x576@72 Hz (pixel clock 42.93 MHz)

General timing

Screen refresh rate

72 Hz

Vertical refresh

43.276209677419 kHz

Pixel freq.

42.93 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

768

17.889587700908

Front porch

32

0.74539948753785

Sync pulse

80

1.8634987188446

Back porch

112

2.6088982063825

Whole line

992

23.107384113673

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

576

13.309853249476

Front porch

1

0.023107384113673

Sync pulse

3

0.06932215234102

Back porch

21

0.48525506638714

Whole frame

601

13.887537852318

 

VESA 768x576@75 Hz (pixel clock 45.51 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

45.14880952381 kHz

Pixel freq.

45.51 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

768

16.875411997363

Front porch

40

0.878927708196

Sync pulse

80

1.757855416392

Back porch

120

2.636783124588

Whole line

1008

22.148978246539

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

576

12.757811470007

Front porch

1

0.022148978246539

Sync pulse

3

0.066446934739618

Back porch

22

0.48727752142386

Whole frame

602

13.333684904417

 

VESA 768x576@85 Hz (pixel clock 51.84 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

51.428571428571 kHz

Pixel freq.

51.84 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

768

14.814814814815

Front porch

40

0.7716049382716

Sync pulse

80

1.5432098765432

Back porch

120

2.3148148148148

Whole line

1008

19.444444444444

Vertical timing (frame)  Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

576

11.2

Front porch

1

0.019444444444444

Sync pulse

3

0.058333333333333

Back porch

25

0.48611111111111

Whole frame

605

11.763888888889

 

VESA 768x576@100 Hz (pixel clock 62.57 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

61.103515625 kHz

Pixel freq.

62.57 MHz

Horizontal timing (line)  Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

768

12.274252836823

Front porch

48

0.76714080230142

Sync pulse

80

1.2785680038357

Back porch

128

2.0457088061371

Whole line

1024

16.365670449097

Vertical timing (frame)  Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

576

9.4266261786799

Front porch

1

0.016365670449097

Sync pulse

3

0.049097011347291

Back porch

31

0.50733578392201

Whole frame

611

9.9994246443983

 

800 x 600

SVGA 800x600@56 Hz (pixel clock 36.0 MHz)

General timing

Screen refresh rate

56 Hz

Vertical refresh

35.15625 kHz

Pixel freq.

36.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

800

22.222222222222

Front porch

24

0.66666666666667

Sync pulse

72

2

Back porch

128

3.5555555555556

Whole line

1024

28.444444444444

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

600

17.066666666667

Front porch

1

0.028444444444444

Sync pulse

2

0.056888888888889

Back porch

22

0.62577777777778

Whole frame

625

17.777777777778

 

SVGA 800x600@60 Hz (pixel clock 40.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

37.878787878788 kHz

Pixel freq.

40.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

800

20

Front porch

40

1

Sync pulse

128

3.2

Back porch

88

2.2

Whole line

1056

26.4

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

600

15.84

Front porch

1

0.0264

Sync pulse

4

0.1056

Back porch

23

0.6072

Whole frame

628

16.5792

 

SVGA 800x600@75 Hz (pixel clock 49.5 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

46.875 kHz

Pixel freq.

49.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

800

16.161616161616

Front porch

16

0.32323232323232

Sync pulse

80

1.6161616161616

Back porch

160

3.2323232323232

Whole line

1056

21.333333333333

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

600

12.8

Front porch

1

0.021333333333333

Sync pulse

3

0.064

Back porch

21

0.448

Whole frame

625

13.333333333333

 

VESA 800x600@72 Hz (pixel clock 50.0 MHz)

General timing

Screen refresh rate

72 Hz

Vertical refresh

48.076923076923 kHz

Pixel freq.

50.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

800

16

Front porch

56

1.12

Sync pulse

120

2.4

Back porch

64

1.28

Whole line

1040

20.8

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

600

12.48

Front porch

37

0.7696

Sync pulse

6

0.1248

Back porch

23

0.4784

Whole frame

666

13.8528

 

SXGA 800x600@85 Hz (Modes 102/103) (pixel clock 56.25 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

53.673664122137 kHz

Pixel freq.

56.25 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

800

14.222222222222

Front porch

32

0.56888888888889

Sync pulse

64

1.1377777777778

Back porch

152

2.7022222222222

Whole line

1048

18.631111111111

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

600

11.178666666667

Front porch

1

0.018631111111111

Sync pulse

3

0.055893333333333

Back porch

27

0.50304

Whole frame

631

11.756231111111

 

VESA 800x600@100 Hz (pixel clock 68.18 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

63.600746268657 kHz

Pixel freq.

68.18 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

800

11.733646230566

Front porch

48

0.70401877383397

Sync pulse

88

1.2907010853623

Back porch

136

1.9947198591962

Whole line

1072

15.723085948959

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

600

9.4338515693752

Front porch

1

0.015723085948959

Sync pulse

3

0.047169257846876

Back porch

32

0.50313875036668

Whole frame

636

9.9998826635377

 

1024 x 768

VESA 1024x768@43 Hz Interlaced (pixel clock 44.9 MHz)

General timing

Screen refresh rate

43 Hz

Vertical refresh

35.522151898734 kHz

Pixel freq.

44.9 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1024

22.806236080178

Front porch

8

0.17817371937639

Sync pulse

176

3.9198218262806

Back porch

56

1.2472160356347

Whole line

1264

28.15144766147

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

768

21.620311804009

Front porch

0

0

Sync pulse

8

0.22521158129176

Back porch

41

1.1542093541203

Whole frame

817

22.999732739421

 

XGA 1024x768@60 Hz (pixel clock 65.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

48.363095238095 kHz

Pixel freq.

65.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1024

15.753846153846

Front porch

24

0.36923076923077

Sync pulse

136

2.0923076923077

Back porch

160

2.4615384615385

Whole line

1344

20.676923076923

Vertical timing (frame)

Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

768

15.879876923077

Front porch

3

0.062030769230769

Sync pulse

6

0.12406153846154

Back porch

29

0.59963076923077

Whole frame

806

16.6656

 

VESA 1024x768@70 Hz (pixel clock 75.0 MHz)

General timing

Screen refresh rate

70 Hz

Vertical refresh

56.475903614458 kHz

Pixel freq.

75.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1024

13.653333333333

Front porch

24

0.32

Sync pulse

136

1.8133333333333

Back porch

144

1.92

Whole line

1328

17.706666666667

Vertical timing (frame)

Polarity of vertical sync pulse is negative.

Frame part

Lines

Time [ms]

Visible area

768

13.59872

Front porch

3

0.05312

Sync pulse

6

0.10624

Back porch

29

0.51349333333333

Whole frame

806

14.271573333333

 

VESA 1024x768@75 Hz (pixel clock 78.8 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

60.060975609756 kHz

Pixel freq.

78.8 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1024

12.994923857868

Front porch

16

0.20304568527919

Sync pulse

96

1.2182741116751

Back porch

176

2.2335025380711

Whole line

1312

16.649746192893

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

768

12.787005076142

Front porch

1

0.016649746192893

Sync pulse

3

0.04994923857868

Back porch

28

0.46619289340102

Whole frame

800

13.319796954315

 

VESA 1024x768@85 Hz (pixel clock 94.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

68.677325581395 kHz

Pixel freq.

94.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1024

10.835978835979

Front porch

48

0.50793650793651

Sync pulse

96

1.015873015873

Back porch

208

2.2010582010582

Whole line

1376

14.560846560847

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

768

11.18273015873

Front porch

1

0.014560846560847

Sync pulse

3

0.04368253968254

Back porch

36

0.52419047619048

Whole frame

808

11.765164021164

 

VESA 1024x768@100 Hz (pixel clock 113.31 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

81.400862068966 kHz

Pixel freq.

113.31 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1024

9.0371547083223

Front porch

72

0.63542494042891

Sync pulse

112

0.98843879622275

Back porch

184

1.6238637366517

Whole line

1392

12.284882181626

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

768

9.4347895154885

Front porch

1

0.012284882181626

Sync pulse

3

0.036854646544877

Back porch

42

0.51596505162828

Whole frame

814

9.9998940958433

 

1152 x 864

VESA 1152x864@75 Hz (pixel clock 108.0 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

67.5 kHz

Pixel freq.

108.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1152

10.666666666667

Front porch

64

0.59259259259259

Sync pulse

128

1.1851851851852

Back porch

256

2.3703703703704

Whole line

1600

14.814814814815

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

864

12.8

Front porch

1

0.014814814814815

Sync pulse

3

0.044444444444444

Back porch

32

0.47407407407407

Whole frame

900

13.333333333333

 

VESA 1152x864@85 Hz (pixel clock 119.65 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

77.094072164948 kHz

Pixel freq.

119.65 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1152

9.6280819055579

Front porch

72

0.60175511909737

Sync pulse

128

1.0697868783953

Back porch

200

1.6715419974927

Whole line

1552

12.971165900543

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

864

11.207087338069

Front porch

1

0.012971165900543

Sync pulse

3

0.03891349770163

Back porch

39

0.50587547012119

Whole frame

907

11.764847471793

 

VESA 1152x864@100 Hz (pixel clock 143.47 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

91.498724489796 kHz

Pixel freq.

143.47 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1152

8.0295532167004

Front porch

80

0.55760786227086

Sync pulse

128

0.89217257963337

Back porch

208

1.4497804419042

Whole line

1568

10.929114100509

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

864

9.4427545828396

Front porch

1

0.010929114100509

Sync pulse

3

0.032787342301526

Back porch

47

0.51366836272391

Whole frame

915

10.000139401966

 

VESA 1152x864@60 Hz (pixel clock 81.62 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

53.697368421053 kHz

Pixel freq.

81.62 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1152

14.114187699093

Front porch

64

0.78412153883852

Sync pulse

120

1.4702278853222

Back porch

184

2.2543494241607

Whole line

1520

18.622886547415

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

864

16.090173976966

Front porch

1

0.018622886547415

Sync pulse

3

0.055868659642245

Back porch

27

0.5028179367802

Whole frame

895

16.667483459936

1280 x 1024

VESA 1280x1024@60 Hz (pixel clock 108.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

63.981042654028 kHz

Pixel freq.

108.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1280

11.851851851852

Front porch

48

0.44444444444444

Sync pulse

112

1.037037037037

Back porch

248

2.2962962962963

Whole line

1688

15.62962962963

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1024

16.004740740741

Front porch

1

0.01562962962963

Sync pulse

3

0.046888888888889

Back porch

38

0.59392592592593

Whole frame

1066

16.661185185185

 

VESA 1280x1024@75 Hz (pixel clock 135.0 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

79.976303317536 kHz

Pixel freq.

135.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1280

9.4814814814815

Front porch

16

0.11851851851852

Sync pulse

144

1.0666666666667

Back porch

248

1.837037037037

Whole line

1688

12.503703703704

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1024

12.803792592593

Front porch

1

0.012503703703704

Sync pulse

3

0.037511111111111

Back porch

38

0.47514074074074

Whole frame

1066

13.328948148148

 

VESA 1280x1024@85 Hz (pixel clock 157.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

91.145833333333 kHz

Pixel freq.

157.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1280

8.1269841269841

Front porch

64

0.40634920634921

Sync pulse

160

1.015873015873

Back porch

224

1.4222222222222

Whole line

1728

10.971428571429

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1024

11.234742857143

Front porch

1

0.010971428571429

Sync pulse

3

0.032914285714286

Back porch

44

0.48274285714286

Whole frame

1072

11.761371428571

 

VESA 1280x1024@100 Hz (pixel clock 190.96 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

108.5 kHz

Pixel freq.

190.96 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

6.7029744449099

Front porch

96

0.50272308336824

Sync pulse

144

0.75408462505237

Back porch

240

1.2568077084206

Whole line

1760

9.2165898617512

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1024

9.4377880184332

Front porch

1

0.0092165898617512

Sync pulse

3

0.027649769585253

Back porch

57

0.52534562211982

Whole frame

1085

10

 

1280 x 800

VESA 1280x800@60 Hz (pixel clock 83.46 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

49.678571428571 kHz

Pixel freq.

83.46 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

15.336688233884

Front porch

64

0.76683441169422

Sync pulse

136

1.6295231248502

Back porch

200

2.3963575365445

Whole line

1680

20.129403306973

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

800

16.103522645579

Front porch

1

0.020129403306973

Sync pulse

3

0.06038820992092

Back porch

24

0.48310567936736

Whole frame

828

16.667145938174

 

1280 x 960

VESA 1280x960@60 Hz (pixel clock 102.1 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

59.63785046729 kHz

Pixel freq.

102.1 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

12.536728697356

Front porch

80

0.78354554358472

Sync pulse

136

1.332027424094

Back porch

216

2.1155729676787

Whole line

1712

16.767874632713

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

960

16.097159647405

Front porch

1

0.016767874632713

Sync pulse

3

0.050303623898139

Back porch

30

0.50303623898139

Whole frame

994

16.667267384917

 

VESA 1280x960@60 Hz (pixel clock 108.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

59.63785046729 kHz

Pixel freq.

102.1 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

12.536728697356

Front porch

80

0.78354554358472

Sync pulse

136

1.332027424094

Back porch

216

2.1155729676787

Whole line

1712

16.767874632713

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

960

16.097159647405

Front porch

1

0.016767874632713

Sync pulse

3

0.050303623898139

Back porch

30

0.50303623898139

Whole frame

994

16.667267384917

 

VESA 1280x960@72 Hz (pixel clock 124.54 MHz)

General timing

Screen refresh rate

72 Hz

Vertical refresh

72.071759259259 kHz

Pixel freq.

124.54 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

10.277822386382

Front porch

88

0.70660028906375

Sync pulse

136

1.0920186285531

Back porch

224

1.7986189176168

Whole line

1728

13.875060221616

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

960

13.320057812751

Front porch

1

0.013875060221616

Sync pulse

3

0.041625180664847

Back porch

37

0.51337722819978

Whole frame

1001

13.888935281837

 

VESA 1280x960@75 Hz (pixel clock 129.86 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

75.150462962963 kHz

Pixel freq.

129.86 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

9.8567688279686

Front porch

88

0.67765285692284

Sync pulse

136

1.0472816879717

Back porch

224

1.7249345448945

Whole line

1728

13.306637917758

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

960

12.774372401047

Front porch

1

0.013306637917758

Sync pulse

3

0.039919913753273

Back porch

38

0.50565224087479

Whole frame

1002

13.333251193593

 

VESA 1280x960@85 Hz (pixel clock 148.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

85.9375 kHz

Pixel freq.

148.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1280

8.6195286195286

Front porch

64

0.43097643097643

Sync pulse

160

1.0774410774411

Back porch

224

1.5084175084175

Whole line

1728

11.636363636364

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

960

11.170909090909

Front porch

1

0.011636363636364

Sync pulse

3

0.034909090909091

Back porch

47

0.54690909090909

Whole frame

1011

11.764363636364

 

VESA 1280x960@100 Hz (pixel clock 178.99 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

101.69886363636 kHz

Pixel freq.

178.99 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1280

7.1512374993016

Front porch

96

0.53634281244762

Sync pulse

144

0.80451421867143

Back porch

240

1.3408570311191

Whole line

1760

9.8329515615398

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

960

9.4396334990782

Front porch

1

0.0098329515615398

Sync pulse

3

0.029498854684619

Back porch

53

0.52114643276161

Whole frame

1017

10.000111738086

 

1368 x 768

VESA 1368x768@60 Hz (pixel clock 85.86 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

47.7 kHz

Pixel freq.

85.86 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1368

15.932914046122

Front porch

72

0.83857442348008

Sync pulse

144

1.6771488469602

Back porch

216

2.5157232704403

Whole line

1800

20.964360587002

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

768

16.100628930818

Front porch

1

0.020964360587002

Sync pulse

3

0.062893081761006

Back porch

23

0.48218029350105

Whole frame

795

16.666666666667

 

1400 x 1050

VESA 1400x1050@60 Hz (pixel clock 122.61 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

65.218085106383 kHz

Pixel freq.

122.61 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1400

11.418318244841

Front porch

88

0.71772286110431

Sync pulse

152

1.2397031237256

Back porch

240

1.9574259848299

Whole line

1880

15.333170214501

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

16.099828725226

Front porch

1

0.015333170214501

Sync pulse

3

0.045999510643504

Back porch

33

0.50599461707854

Whole frame

1087

16.667156023163

 

VESA 1400x1050@60 Hz (pixel clock 122.61 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

65.218085106383 kHz

Pixel freq.

122.61 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1400

11.418318244841

Front porch

88

0.71772286110431

Sync pulse

152

1.2397031237256

Back porch

240

1.9574259848299

Whole line

1880

15.333170214501

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

16.099828725226

Front porch

1

0.015333170214501

Sync pulse

3

0.045999510643504

Back porch

33

0.50599461707854

Whole frame

1087

16.667156023163

 

VESA 1400x1050@72 Hz (pixel clock 149.34 MHz)

General timing

Screen refresh rate

72 Hz

Vertical refresh

78.76582278481 kHz

Pixel freq.

149.34 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1400

9.3745814918977

Front porch

96

0.6428284451587

Sync pulse

152

1.0178117048346

Back porch

248

1.6606401499933

Whole line

1896

12.695861791884

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

13.330654881479

Front porch

1

0.012695861791884

Sync pulse

3

0.038087585375653

Back porch

40

0.50783447167537

Whole frame

1094

13.889272800321

 

VESA 1400x1050@75 Hz (pixel clock 155.85 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

82.199367088608 kHz

Pixel freq.

155.85 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1400

8.9829964709657

Front porch

96

0.61597690086622

Sync pulse

152

0.97529675970484

Back porch

248

1.5912736605711

Whole line

1896

12.165543792108

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

12.773820981713

Front porch

1

0.012165543792108

Sync pulse

3

0.036496631376323

Back porch

42

0.51095283926853

Whole frame

1096

13.33343599615

 

VESA 1400x1050@85 Hz (pixel clock 179.26 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

93.755230125523 kHz

Pixel freq.

179.26 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1400

7.8098850831195

Front porch

104

0.58016289188888

Sync pulse

152

0.84793038045297

Back porch

256

1.4280932723418

Whole line

1912

10.666071627803

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

11.199375209193

Front porch

1

0.010666071627803

Sync pulse

3

0.03199821488341

Back porch

49

0.52263750976236

Whole frame

1103

11.764677005467

 

VESA 1400x1050@100 Hz (pixel clock 214.39 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

111.19813278008 kHz

Pixel freq.

214.39 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1400

6.5301553244088

Front porch

112

0.5224124259527

Sync pulse

152

0.70898829236438

Back porch

264

1.2314007183171

Whole line

1928

8.992956761043

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

9.4426045990951

Front porch

1

0.008992956761043

Sync pulse

3

0.026978870283129

Back porch

58

0.52159149214049

Whole frame

1112

10.00016791828

 

1440 x 900

VESA 1440x900@60 Hz (pixel clock 106.47 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

55.919117647059 kHz

Pixel freq.

106.47 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1440

13.52493660186

Front porch

80

0.75138536676998

Sync pulse

152

1.427632196863

Back porch

232

2.1790175636329

Whole line

1904

17.882971729126

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

900

16.094674556213

Front porch

1

0.017882971729126

Sync pulse

3

0.053648915187377

Back porch

28

0.50072320841552

Whole frame

932

16.666929651545

 

1600 x 1200

VESA 1600x1200@60 Hz (pixel clock 162.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

75 kHz

Pixel freq.

162.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1600

9.8765432098765

Front porch

64

0.39506172839506

Sync pulse

192

1.1851851851852

Back porch

304

1.8765432098765

Whole line

2160

13.333333333333

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

16

Front porch

1

0.013333333333333

Sync pulse

3

0.04

Back porch

46

0.61333333333333

Whole frame

1250

16.666666666667

 

VESA 1600x1200@60 Hz (pixel clock 162.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

75 kHz

Pixel freq.

162.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1600

9.8765432098765

Front porch

64

0.39506172839506

Sync pulse

192

1.1851851851852

Back porch

304

1.8765432098765

Whole line

2160

13.333333333333

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

16

Front porch

1

0.013333333333333

Sync pulse

3

0.04

Back porch

46

0.61333333333333

Whole frame

1250

16.666666666667

 

VESA 1600x1200@65 Hz (pixel clock 175.5 MHz)

General timing

Screen refresh rate

65 Hz

Vertical refresh

81.25 kHz

Pixel freq.

175.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1600

9.1168091168091

Front porch

64

0.36467236467236

Sync pulse

192

1.0940170940171

Back porch

304

1.7321937321937

Whole line

2160

12.307692307692

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

14.769230769231

Front porch

1

0.012307692307692

Sync pulse

3

0.036923076923077

Back porch

46

0.56615384615385

Whole frame

1250

15.384615384615

 

VESA 1600x1200@70 Hz (pixel clock 189.0 MHz)

General timing

Screen refresh rate

70 Hz

Vertical refresh

87.5 kHz

Pixel freq.

189.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1600

8.4656084656085

Front porch

64

0.33862433862434

Sync pulse

192

1.015873015873

Back porch

304

1.6084656084656

Whole line

2160

11.428571428571

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

13.714285714286

Front porch

1

0.011428571428571

Sync pulse

3

0.034285714285714

Back porch

46

0.52571428571429

Whole frame

1250

14.285714285714

 

VESA 1600x1200@75 Hz (pixel clock 202.5 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

93.75 kHz

Pixel freq.

202.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1600

7.9012345679012

Front porch

64

0.31604938271605

Sync pulse

192

0.94814814814815

Back porch

304

1.5012345679012

Whole line

2160

10.666666666667

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

12.8

Front porch

1

0.010666666666667

Sync pulse

3

0.032

Back porch

46

0.49066666666667

Whole frame

1250

13.333333333333

 

VESA 1600x1200@85 Hz (pixel clock 229.5 MHz)

General timing

Screen refresh rate

85 Hz

Vertical refresh

106.25 kHz

Pixel freq.

229.5 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is positive.

Scanline part

Pixels

Time [µs]

Visible area

1600

6.9716775599129

Front porch

64

0.27886710239651

Sync pulse

192

0.83660130718954

Back porch

304

1.3246187363834

Whole line

2160

9.4117647058824

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

11.294117647059

Front porch

1

0.0094117647058824

Sync pulse

3

0.028235294117647

Back porch

46

0.43294117647059

Whole frame

1250

11.764705882353

 

VESA 1600x1200@100 Hz (pixel clock 280.64 MHz)

General timing

Screen refresh rate

100 Hz

Vertical refresh

127.10144927536 kHz

Pixel freq.

280.64 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1600

5.7012542759407

Front porch

128

0.45610034207526

Sync pulse

176

0.62713797035348

Back porch

304

1.0832383124287

Whole line

2208

7.8677309007982

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

9.4412770809578

Front porch

1

0.0078677309007982

Sync pulse

3

0.023603192702395

Back porch

67

0.52713797035348

Whole frame

1271

9.9998859749145

 

1680 x 1050

VESA 1680x1050@60 Hz (pixel clock 147.14 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

65.221631205674 kHz

Pixel freq.

147.14 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1680

11.417697431018

Front porch

104

0.70680984096779

Sync pulse

184

1.2505097186353

Back porch

288

1.9573195596031

Whole line

2256

15.332336550224

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1050

16.098953377735

Front porch

1

0.015332336550224

Sync pulse

3

0.045997009650673

Back porch

33

0.5059671061574

Whole frame

1087

16.666249830094

 

1792 x 1344

VESA 1792x1344@60 Hz (pixel clock 204.8 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

83.660130718954 kHz

Pixel freq.

204.8 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1792

8.75

Front porch

128

0.625

Sync pulse

200

0.9765625

Back porch

328

1.6015625

Whole line

2448

11.953125

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1344

16.065

Front porch

1

0.011953125

Sync pulse

3

0.035859375

Back porch

46

0.54984375

Whole frame

1394

16.66265625

VESA 1792x1344@75 Hz (pixel clock 261.0 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

106.27035830619 kHz

Pixel freq.

261.0 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1792

6.8659003831418

Front porch

96

0.36781609195402

Sync pulse

216

0.82758620689655

Back porch

352

1.3486590038314

Whole line

2456

9.4099616858238

Vertical timing (frame)

Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1344

12.646988505747

Front porch

1

0.0094099616858238

Sync pulse

3

0.028229885057471

Back porch

69

0.64928735632184

Whole frame

1417

13.333915708812

1856 x 1392

VESA 1856x1392@60 Hz (pixel clock 218.3 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

86.352848101266 kHz

Pixel freq.

218.3 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1856

8.5020613834173

Front porch

96

0.439761795694

Sync pulse

224

1.0261108566193

Back porch

352

1.6124599175447

Whole line

2528

11.580393953275

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1392

16.119908382959

Front porch

1

0.011580393953275

Sync pulse

3

0.034741181859826

Back porch

43

0.49795693999084

Whole frame

1439

16.664186898763

VESA 1856x1392@75 Hz (pixel clock 288.0 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

112.5 kHz

Pixel freq.

288.0 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1856

6.4444444444444

Front porch

128

0.44444444444444

Sync pulse

224

0.77777777777778

Back porch

352

1.2222222222222

Whole line

2560

8.8888888888889

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1392

12.373333333333

Front porch

1

0.0088888888888889

Sync pulse

3

0.026666666666667

Back porch

104

0.92444444444444

Whole frame

1500

13.333333333333

1920 x 1200

VESA 1920x1200@60 Hz (pixel clock 193.16 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

74.521604938272 kHz

Pixel freq.

193.16 MHz

Horizontal timing (line)

Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1920

9.939946158625

Front porch

128

0.66266307724166

Sync pulse

208

1.0768275005177

Back porch

336

1.7394905777594

Whole line

2592

13.418927314144

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1200

16.102712776972

Front porch

1

0.013418927314144

Sync pulse

3

0.040256781942431

Back porch

38

0.50991923793746

Whole frame

1242

16.666307724166

1920 x 1440

VESA 1920x1440@60 Hz (pixel clock 234.0 MHz)

General timing

Screen refresh rate

60 Hz

Vertical refresh

90 kHz

Pixel freq.

234.0 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1920

8.2051282051282

Front porch

128

0.54700854700855

Sync pulse

208

0.88888888888889

Back porch

344

1.4700854700855

Whole line

2600

11.111111111111

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1440

16

Front porch

1

0.011111111111111

Sync pulse

3

0.033333333333333

Back porch

56

0.62222222222222

Whole frame

1500

16.666666666667

VESA 1920x1440@75 Hz (pixel clock 297.0 MHz)

General timing

Screen refresh rate

75 Hz

Vertical refresh

112.5 kHz

Pixel freq.

297.0 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative.

Scanline part

Pixels

Time [µs]

Visible area

1920

6.4646464646465

Front porch

144

0.48484848484848

Sync pulse

224

0.75420875420875

Back porch

352

1.1851851851852

Whole line

2640

8.8888888888889

Vertical timing (frame) Polarity of vertical sync pulse is positive.

Frame part

Lines

Time [ms]

Visible area

1440

12.8

Front porch

1

0.0088888888888889

Sync pulse

3

0.026666666666667

Back porch

56

0.49777777777778

Whole frame

1500

13.333333333333

 

你可能感兴趣的:(VGA Signal Timing)