ATmega128 串口使用注意事项

一个AVR串口通信程序竟然花了五个小时,从GCC 换成CVAVR一直没有调通,搞的非常郁闷,最后发现因为用的是ISP的下载方式的问题,而ATmega128的ISP下载接口用到串口0,因此当ISP下载线插在上面时就无法接收程序,但是程序发送正常。

 

另外我的串口是使用隔离芯片的,连上后ISP无法下载,中间串两个10K电阻问题解决。

你可能感兴趣的:(gcc)