modelsim常用命令

用do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便.

 

1.运行仿真,在主窗口输入命令:vsim work.实体名

2.为时钟信号添加驱动,输入命令:force clk 0 0,1 10 -r 20,将仿真时钟设为50MHz;(设时间单位为ns)

3.打开波形窗口,输入命令:view wave

4.为波形窗口添加信号,输入命令:add wave -hex *,这里的*表示添加设计中所有的信号,-hex表示以十六进制来表示波

形窗口中的信号值;

5.开始仿真,输入命令,run 3us,这时候在波形窗口中出现仿真波形

6.退出仿真,输入命令:quit –sim //很常用!!

7.查看错误详细信息: verror **(错误数字代号)

--vlib - 建立一个新的工作库。
如:vlib work    
    在当前目录建立逻辑库work,运行后会在当前目录下找到work文件夹。

--vmap - 映射逻辑库名到指定的目录

--vsim - 启动仿真
如:vsim -c -l vsim.log -do ./YourDo.do -L ./work work.foo
    开始仿真,-c 选项让vsim工作在commandline模式;-l 选项是输出log文件到vsim.log; -do 选项是开始仿真后运行tcl脚本文件;-L 选项是指定工作逻辑库;work.foo是仿真的top level module。

你可能感兴趣的:(Verilog/FPGA)