Bresenham画线算法

Bresenham画线算法
Bresenham算法是计算机图形学领域使用最广泛的直线扫描转换算法。仍然假定直线斜率在0~1之间,该方法类似于中点法,由一个误差项符号决定下一个象素点。

    算法原理如下:过各行各列象素中心构造一组虚拟网格线。按直线从起点到终点的顺序计算直线与各垂直网格线的交点,然后确定该列象素中与此交点最近的象素。该算法的巧妙之处在于采用增量计算,使得对于每一列,只要检查一个误差项的符号,就可以确定该列的所求象素。

    如图2.1.4所示,设直线方程为yi+1=yi+k(xi+1-xi)+k。假设行坐标象素已经确定为xi,其列坐标为yi。那么下一个象素的行坐标为xi1,而列坐标要么为yi,要么递增1yi1。是否增1取决于误差项d的值。误差项d的初值d00x坐标每增加1d的值相应递增直线的斜率值k,即ddk。一旦 d≥1,就把它减去1,这样保证d01之间。当d0.5时,直线与垂线x=xi1交点最接近于当前象素(xiyi)的右上方象素(xi1yi1);而当d<0.5时,更接近于右方象素(xi1yi)。为方便计算,令ed0.5e的初值为-0.5,增量为k。当e0时,取当前象素(xiyi)的右上方象素(xi1yi1);而当e<0时,取(xiyi)右方象素(xi1yi)。

图2.1.4 Bresenham算法所用误差项的几何含义

 

Bresenham画线算法程序:

void Bresenhamline (int x0,int y0,int x1, int y1,int color)

{ int x, y, dx, dy;

float k, e;

dx = x1-x0;dy = y1- y0;k=dy/dx;

e=-0.5; x=x0,;y=y0;

for (i=0;i

{ drawpixel (x, y, color);

    x=x+1;e=e+k;

    if (e³0)

    { y++; e=e-1;}

}

}

举例:用Bresenham方法扫描转换连接两点P00,0)和P15,2)的直线段。

x y e

0 0 -0.5

1 0 -0.1

2 1 -0.7

3 1 -0.3

4 2 -0.9                                   图2.1.5 Bresenham算法

5 2 -0.5

 

    上述Bresenham算法在计算直线斜率与误差项时用到小数与除法。可以改用整数以避免除法。由于算法中只用到误差项的符号,因此可作如下替换:2*e*dx

改进的Bresenham画线算法程序:

void InterBresenhamline (int x0,int y0,int x1, int y1,int color)

{ dx = x1-x0,;dy = y1- y0,;e=-dx;

x=x0; y=y0;

for (i=0; i

{drawpixel (x, y, color);

   x++; e=e+2*dy;

   if (e³0) { y++; e=e-2*dx;}

   }

你可能感兴趣的:(UCGUI)