使用CLion在Windows下搭建SystemC开发环境

准备

  • Systemc安装包。点击这里下载
  • 安装CLion。点击这里下载
  • 安装Cygwin。点击这里下载

构建SystemC

我们使用CLion构建Systemc

  1. File -> Open
  2. 选择%SYSTEMC_HOME%/src
  3. 注释CMakeLists.txt中“Install rules for Systemc library”部分和“set_target_properties”部分
  4. 在CMakeLists.txt的“Build rules for SystemC library”部分添加
cmake_minimum_required(VERSION 3.9)
project(sc)

set(CMAKE_CXX_STANDARD 11)
  1. Ctrl + F9开始构建
    构建完成会在cmake-build-debug目录下生成libsystemc.a

Hello SystemC

我们使用CLion开发SystemC程序

  1. File -> New Project -> C++ Executable -> Create
  2. 在CMakeLists.txt中添加
include_directories(D:/Apps/systemc-2.3.2/src)
link_directories(D:/Apps/systemc-2.3.2/src/cmake-build-debug)
link_libraries(systemc.a)
  1. 修改main.cpp为:
#include "systemc.h"

SC_MODULE(Test) {
    void sim() {
        printf("Hello World\n");
    }

    SC_CTOR(Test) {
        SC_METHOD(sim); sensitive << clk.pos();
    }

    sc_in clk;
};

int sc_main(int argc, char *argv[]) {
    sc_clock clk("clk", 1, SC_NS);

    Test t("test");
    t.clk(clk);

    sc_start(10, SC_NS);
    return 0;
}
  1. Ctrl + F9编译,然后运行即可

你可能感兴趣的:(使用CLion在Windows下搭建SystemC开发环境)