FPGA片内实例化ROM

  1. 创建一个ROM初始化内容对应的文件->>*.mif
    用文本编译器打开*.mif文件。
    具体内容如下:


    • (注:符号:“- -”为注释符号,在其行后面的字符为注释内容。
    • WIDTH=8; //ROM表示的位宽。
    • DEPTH=32;//数据深度。
    • ADDRESS_RADIX=UNS; //地址总线采用十进制表示。
    • DATA_RADIX=HEX; //数据总线采用十六进制表示。
    • CONTENT BEGIN 和 GND之间是ROM的具体数据内容。

WIDTH=8;
DEPTH=32;

ADDRESS_RADIX=UNS;
DATA_RADIX=HEX;

CONTENT BEGIN
        0       :   11;
        1       :   22;
        2       :   33;
        3       :   44;
        4       :   55;
        5       :   66;
        6       :   77;
        8       :   88;
        9       :   99;
        10  :   a1;
        11  :   a2;
        12  :   a3;
        13  :   a4;
        14  :   a5;
        15  :   a6;
        16  :   a7;
        17  :   a8;
        18  :   a9;
        19  :   aa;
        20  :   b1;
        21  :   b2;
        22  :   b3;
        23  :   b4;
        24  :   b5;
        25  :   b6;
        26  :   b7;
        27  :   b8;
        28  :   b9;
        29  :   bb;
        30  :   c1;
        31  :   c2;
END

2.创建一个ROM的IP核
我用的是Quartus2


  1. FPGA片内实例化ROM_第1张图片

  2. FPGA片内实例化ROM_第2张图片

  3. FPGA片内实例化ROM_第3张图片
    4.
    FPGA片内实例化ROM_第4张图片
    5.
    FPGA片内实例化ROM_第5张图片
    注:记得点rom_controller_inst.v。此文件为实例化的模板,在文件夹中找到后,复制粘贴,修改对应的接口名。

你可能感兴趣的:(练习)