Testbench仿真笔记

initial begin
	$fsdbDumpfile("top.fsdb");
	$fsdbDumpvars(0,tb_top,"+all","+mda","+parameter");	
end

FSDB Dumping task

f s d b D u m p f i l e , 设 置 F S D B 的 名 字 , 默 认 是 “ n o v a s . f s d b ” , fsdbDumpfile , 设置FSDB的名字,默认是“novas.fsdb”, fsdbDumpfile,FSDBnovas.fsdb,fsdbDumpfile(“FSDB_NAME”,Limit_Size);
通过用户滑动窗口机制来保留FSDB文件中的最后信号值,如果文件大小超过了限制就扇出旧的值
必须在fsdbDumpvars task之前设置。

$fsdbDumpvars,转存信号指定实例和深度的变化。
也能够指定FSDB文件名,在每个fsdbDumpvars命令中可以指定不同的FSDB文件名

 Verdi
 查看波形文件,需要源代码以及fsdb文件。
 命令:verdi -sv -f filelist –ssf top.fsdb
 其中:
     -sv: 表示 Verdi 软件 要支持systemverilog
     -f filelist:  源代码的文件列表
     -ssf top.fsdb:  指定fsdb文件

verdi 查看波形,
第一次进入软件界面后,首先要【New Waveform】,
再【nWave】的【Open File】手动添加*.fsdb文件,
之后选中信号变量 ctrl w手动添加到Wave波形框。

你可能感兴趣的:(IC设计,IC验证,IC综合,IC,设计,验证,综合)