个人对SystemC开发的一些粗浅体会

SystemC是一种建模语言,可以对软硬件系统进行建模。描述抽象层次可以分为算法级(ALM)、系统结构级(SAM)、事务级(TLM)和RTL;

标准的C/C++可以对系统的算法进行描述,但是无法模拟硬件的并发性行为,即无法评估硬件系统架构。

SystemC其实就是C++的一个类库,在标准C++的基础上建立了一个Simulation Kernel,来对各种process的执行顺序进行调度。这个Kernel的算法思想是把连续的仿真时间划分为多个离散的仿真时刻,再把一个仿真时刻划分为多个delta-cycle。这样就可以在这些delta-cycle中用顺序执行的编程语言来模拟硬件的并行性行为。

用SystemC进行模型开发,表面上是在玩C++语法。但随着抽象层次不断地向下refine达到cycle-accurate,就需要对硬件的行为(尤其是RTL级)有深刻的理解。所以RTL背景的人可以很容易开发出周期精确的模型,当他们把抽象层次继续向上就比较困难;而要让纯软件背景的人把抽象层次不断向下,他们又对硬件的并发性理解不够深刻。


你可能感兴趣的:(SystemC)