VCS简介

1.2.1 关于VCS

VCS是Verilog Compiled Simulator的缩写。VCS MX®是一个编译型的代码仿真器。它使你能够分析,编译和仿真Verilog,VHDL,混合HDL,SystemVerilog,OpenVera和SystemC描述的设计。 它还为您提供了一系列仿真和调试功能,以验证您的设计。 这些功能提供了源码调试和仿真结果查看功能。
vcs提供了一系列的feature,如下图:
VCS简介_第1张图片

  • 业界领先的性能和兼容性
    无论是RTL还是gate level,还是TLM,或者AMS
  • 高级仿真技术
    X-prop,低功耗,精调的并行化等
  • 次时代的验证planning和覆盖率分析
    verification planner,verdi coverage和formal coverage分析
  • 广泛的语言和方法学支持
    UVM, UPF, Analog Mixed-signal, combined TLM/RTL
    SystemC, SystemVerilog, verilog, VHDL, Mixed-language

1.2.2 VCS setup

通常情况下,公司的IT部门会将工具安装好,一般情况下,不需要我们进行什么设置,下面给出的是万一需要自己安装VCS的时候,需要的设置的环境变量。

setenv VCS_HOME  /tools/synopsys/vcs-2016
set path = ($VCS_HOME/bin $path)
setenv LM_LICENSE_FILE portid@hostname
setenv VCS_CC /usr/bin/gcc

1.2.3 VCS帮助文档

  • /tools/synopsys/vcs-xxx/doc (xxx is release version)
    尽量查阅VCS MX User Guide作为查找某个功能,特性的第一选择
    %> vcs –doc
  • 查看vcs工具提供的一些options,这些options的含义可以在UG中查找
    %> vcs -help
  • 如果是刚入门,可以查看VCS quickstart
    /tools/synopsys/vcs-2016/doc/UserGuide/examples-pdf/systemverilog/vcs_quickstart

1.2.4 善于利用SolvNet

VCS/VCS-MX的所有文档(S家其他EDA工具的文档)都可以在SolvNet上查找到。不仅仅是UG,还有很多用户提交的issue和case,供用户参考。如果你在使用VCS的过程中遇到问题,也可以提交case,Synopsys会有专门的人员来帮助解决问题。
但是SolvNet需要用公司的邮箱注册。

  1. Log in to the SolvNet online support site using your SolvNet account at:
    https://solvnet.synopsys.com/
  2. Click the Documentation tab and select VCS/VCS-MX.

你可能感兴趣的:(VCS快速实战指南)