sdf文件反标

方法一

在makefile中调用,使用如下命令
+neg_tchk -negdelay -sdf max:t_all_xx.i_all_xx:./all_xx.sdf
注意路径和max/min选择
上述命令中,sdf文件和makefile在同一文件夹

方法二

在测试平台中加载sdf文件

`ifdef SDF
initial
begin
  $sdf_annotate("../../rtl/post_sim/U_sramc.sdf",u_top,,"sdf.log",);
end
`endif

$sdf_annotate(“sdf_file”,):将sdf文件读入设计中。

$sdf_annotate函数的声明:
$sdf_annotate(“sdf_file”[, module_instance] [, “sdf_configfile”] [, “sdf_logfile”] [, “mtm_spec”] [, “scale_factors”] [, “scale_type”]);

可选参数:

  1. module_instance(反标module,缺省当前模块),
  2. log_file(指定输出log的名字),
  3. mtm_spec(min/typ/max反标种类),
  4. scale_factor(scale因子),
  5. scale_type(scale在min/typ/max选择)。

你可能感兴趣的:(sdf文件,IC基础)