wns(worst negative slack)TNS

WNS 代表最差负时序裕量 (Worst Negative Slack)TNS 代表总的负时序裕量 (Total Negative Slack)(所有path-slack 加起来),也就是负时序裕量路径之和。WHS 代表最差保持时序裕量 (Worst Hold Slack)THS 代表总的保持时序裕量 (Total Hold Slack),也就是负保持时序裕量路径之和。这些值告诉您设计与时序要求相差多少。

你可能感兴趣的:(wns(worst negative slack)TNS)