vcs dump waveform的命令

initial begin
$vcdpluson();
end
产生vcdplus.vpd格式的波形文件

verdi是一种波形查看软件,查看的文件格式是 .fsdb

你可能感兴趣的:(vcs dump waveform的命令)