Quartus II 使用详解

今天早上做了《计算机组成原理》课的第一次实验。

在这介绍一下QuartusⅡ如何使用,希望能帮到有需要的人。


1、新建工程项目。

Quartus II 使用详解_第1张图片

 

2、填写项目存储路径和工程名,不要出现中文路径。

Quartus II 使用详解_第2张图片

 

3、添加已存在文件(可选),在【File name】下选择已经存在的工程项目,利用【Add】或【Add all】命令添加文件到新工程,点击【Next】

Quartus II 使用详解_第3张图片

 

4、选择设备系列,并在【devices】下选择具体设备型号,点击【Next】 

Quartus II 使用详解_第4张图片

 

5、设置仿真器和描述语言,【Simulation】下选择仿真工具Modelsim,描述语言为Verilog HDL,点击【Next】

 Quartus II 使用详解_第5张图片

 

6、新建一个Verilog HDL File文件。

Quartus II 使用详解_第6张图片

 

7、模块命名要与工程名一致,保存好后就可以编译了。

Quartus II 使用详解_第7张图片

 

8、编译完成后可以点击【RTL Viewer】查看寄存器传输级视图,

也可通过 【Tool】>>【Netlist Viewers】>>【RTL Viewers】查看。

Quartus II 使用详解_第8张图片

 

9、结果如下:

Quartus II 使用详解_第9张图片

 

10、再新建一个Verilog HDL File文件,写入一个测试脚本。

注意:必须以文件扩展名.vt保存

Quartus II 使用详解_第10张图片

 

11、保存,编译,在进行仿真之前我们先要设置一下,【Assignment】>>【Settings】

Quartus II 使用详解_第11张图片

 

12、在【EDA Tool Settings】下,点击【Simulation】,选中【Compile test bench】选项,单击【Test Benches】按钮。

Quartus II 使用详解_第12张图片

 

13、填写测试脚本名。

Quartus II 使用详解_第13张图片

 

14、选择一下仿真工具。

Quartus II 使用详解_第14张图片

 

15、在【EDA Tool Options】下,找到仿真工具【ModelSim-Altera】

Quartus II 使用详解_第15张图片

 

16、进行仿真,【Tools】>>【Run Simulation Tool】>>【RTL Simulatiom】

Quartus II 使用详解_第16张图片

 

17、自动打开ModelSim

Quartus II 使用详解_第17张图片

 

18、仿真结果:

Quartus II 使用详解_第18张图片

如有错误欢迎指正。

你可能感兴趣的:(★,Computer,Organization,Quartus)