(3)UVM验证平台搭建之介绍

年轻人的第一个UVM验证平台搭建之介绍

  • 验证平台的组成
  • UVM验证平台的框图
  • 验证平台介绍
  • 目录

验证平台的组成

验证用于找出DUT中的bug,这个过程通常是把DUT放入一个验证平台中来实现的。一个验证平台要实现如下基本功能:

  • 验证平台要模拟DUT的各种真实使用情况,这意味着要给DUT施加各种激励。
  • 验证平台要能够根据DUT的输出来判断DUT的行为是否与预期相符合,完成这个功能的是记分板(scoreboard)
  • 验证平台要收集DUT的输出并把它们传递给scoreboard,完成这个功能的是monitor。
  • 验证平台要能够给出预期结果,在验证平台中,完成这个过程的是参考模型(reference model)。

UVM验证平台的框图

验证平台的典型框图如下图所示:
(3)UVM验证平台搭建之介绍_第1张图片

验证平台介绍

module dut(clk,
           rst_n, 
           rxd,
           rx_dv,
           txd,
           tx_en);
input clk;
input rst_n;
input[7:0] rxd;
input rx_dv;
output [7:0] txd;
output tx_en;

reg[7:0] txd;
reg tx_en;

always @(posedge clk) begin
   if(!rst_n) begin
      txd <= 8'b0;
      tx_en <= 1'b0;
   end
   else begin
      txd <= rxd;
      tx_en <= rx_dv;
   end
end
endmodule

      这个DUT通过rxd接收数据,再通过txd发送出去。其中rx_dv是接收的数据有效指示,tx_en是发送的数据有效指示
      UVM是一个库,在这个库中,几乎所有的东西都是使用类(class)来实现的。driver、monitor、reference model、scoreboard等组成部分都是类。
      类有函数(function)、有任务(task),通过这些函数和任务可以      完成driver的输出激励功能,完成monitor的监测功能,完成参考模型的计算功能,完成scoreboard的比较功能。
      类有成员变量,这些成员变量可以控制类的行为,如控制driver的行为等。
      当要实现一个功能时,首先应该想到的是从UVM的某个类派生出一个新的类,在这个新的类中实现所期望的功能。所以,使用UVM的第一条原则是:验证平台中所有的组件应该派生自UVM中的类

目录

后面的章节将从各个组件展开学习,后续更新链接将添加至下方:
年轻人的第一个UVM验证平台搭建——driver:https://blog.csdn.net/qq_40051553/article/details/121301976?spm=1001.2014.3001.5501

这篇笔记参考《UVM实战》、《芯片验证漫游指南》和某验证视频整理而成,仅作学习心得交流,如果涉及侵权烦请请告知,我将第一时间处理。

你可能感兴趣的:(UVM验证方法学,systemverilog,verilog,芯片)